Hardware Description Languages ECE 3450 M. A. Jupina, VU, 2014.

Slides:



Advertisements
Similar presentations
06/10/071 Security System Using VHDL. 06/10/072 Project Members Amal Shanavas Aneez I Ijas Rahim Renjith S Menon Sajid S Chavady.
Advertisements

Digital System Design Subject Name : Digital System Design Course Code : IT-314.
Verilog Fundamentals Shubham Singh Junior Undergrad. Electrical Engineering.
ENEL111 Digital Electronics
Introduction To VHDL for Combinational Logic
VHDL - I 1 Digital Systems. 2 «The designer’s guide to VHDL» Peter J. Andersen Morgan Kaufman Publisher Bring laptop with installed Xilinx.
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
1 Lecture 13 VHDL 3/16/09. 2 VHDL VHDL is a hardware description language. The behavior of a digital system can be described (specified) by writing a.
Introduction to VHDL (Lecture #5) ECE 331 – Digital System Design The slides included herein were taken from the materials accompanying Fundamentals of.
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
VHDL Intro What does VHDL stand for? VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuit Developed in 1982 by Govt. to standardize.
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
Silicon Programming--Intro. to HDLs1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities.
ECE 331 – Digital System Design Course Introduction and VHDL Fundamentals (Lecture #1)
Simple Testbenches Behavioral Modeling of Combinational Logic
VLSI Design Lab Introduction
O VERVIEW OF DIGITAL SYSTEMS Hardware Description Language 1.
Fall 08, Oct 29ELEC Lecture 7 (updated) 1 Lecture 7: VHDL - Introduction ELEC 2200: Digital Logic Circuits Nitin Yogi
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
ECE 2372 Modern Digital System Design
An Introduction to VHDL Using Altera’s Quartus II IDE Dr. William M. Jones Coastal Carolina University Numbers and Bytes Meeting 20 OCT 2008.
1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :
System Arch 2008 (Fire Tom Wada) /10/9 Field Programmable Gate Array.
VHDL TUTORIAL Preetha Thulasiraman ECE 223 Winter 2007.
A VHDL Tutorial ENG2410. ENG241/VHDL Tutorial2 Goals Introduce the students to the following: –VHDL as Hardware description language. –How to describe.
Tutorial 1 Combinational Logic Synthesis. Introduction to VHDL VHDL = Very high speed Hardware Description Language VHDL and Verilog are the industry.
VHDL IE- CSE. What do you understand by VHDL??  VHDL stands for VHSIC (Very High Speed Integrated Circuits) Hardware Description Language.
Copyright © 1997 Altera Corporation & 提供 What is VHDL Very high speed integrated Hardware Description Language (VHDL) –is.
CWRU EECS 317 EECS 317 Computer Design LECTURE 1: The VHDL Adder Instructor: Francis G. Wolff Case Western Reserve University.
Basic Overview of VHDL Matthew Murach Slides Available at:
Chapter 0 deSiGn conCepTs EKT 221 / 4 DIGITAL ELECTRONICS II.
Introducing the Nexys 2 Board CS 332 – Operating Systems 12/04/2011 by Otto Castell-R.
Introduction to VHDL Spring EENG 2920 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
Reconfigurable Computing - VHDL John Morris Computer Science/ Electrical and Computer Engineering The University of Auckland Iolanthe racing off Fremantle,
ECE 3450 M. A. Jupina, VU, 2012  Overview of Digital Logic Technologies  FPLD Technologies  Altera DE2 Development Board  Hardware Description Languages.
Anurag Dwivedi. Basic Block - Gates Gates -> Flip Flops.
Electrical and Computer Engineering University of Cyprus LAB 1: VHDL.
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Design Lecture # 11 High Desecration Language- Based Design.
HARDWARE DESCRIPTION LANGUAGE (HDL). What is HDL? A type of programming language for sampling and modeling of electronic & logic circuit designs It can.
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
Hardware languages "Programming"-language for modelling of (digital) hardware 1 Two main languages: VHDL (Very High Speed Integrated Circuit Hardware Description.
Chapter 5 Introduction to VHDL. 2 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
Digital System Projects
ELEE 4303 Digital II Introduction to Verilog. ELEE 4303 Digital II Learning Objectives Get familiar with background of HDLs Basic concepts of Verilog.
CS/EE 3700 : Fundamentals of Digital System Design
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
5-1 Logic System Design I VHDL Design Principles ECGR2181 Reading: Chapter 5.0, 5.1, 5.3 port ( I: in STD_LOGIC_VECTOR (1 to 9); EVEN, ODD: out STD_LOGIC.
Digital Design Using VHDL and PLDs ECOM 4311 Digital System Design Chapter 1.
May 9, 2001Systems Architecture I1 Systems Architecture I (CS ) Lab 5: Introduction to VHDL Jeremy R. Johnson May 9, 2001.
VHDL From Ch. 5 Hardware Description Languages. History 1980’s Schematics 1990’s Hardware Description Languages –Increased due to the use of Programming.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
Combinational Logic Design
Systems Architecture Lab: Introduction to VHDL
Introduction to Programmable Logic
Programmable Logic Devices: CPLDs and FPGAs with VHDL Design
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
Instructions to get MAX PLUS running
Lecture 1.3 Hardware Description Languages (HDLs)
ECNG 1014: Digital Electronics Lecture 1: Course Overview
VHDL Introduction.
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL code ECE 448 – FPGA and ASIC Design.
4-Input Gates VHDL for Loops
COE 202 Introduction to Verilog
VHDL - Introduction.
Presentation transcript:

Hardware Description Languages ECE 3450 M. A. Jupina, VU, 2014

Lecture Objective A brief (very brief) discussion of VHDL and the future of VHDL-AMS and mixed signal ICs. References: 1.Fundamentals of Digital Logic, Sections 2.10 and 4.12 and Appendix A. 2.Document files at the course web site ECE 3450 M. A. Jupina, VU, 2014

VHDL - VHSIC (Very High Speed Integrated Circuit) Hardware Description Language ECE 3450 M. A. Jupina, VU, 2014 VHDL is code that is used to describe hardware rather than a program to be executed on a computer. For example, other HDLs: Verilog and AHDL

VHDL is a language used for simulation and synthesis of digital logic. A VHDL description of a digital system can be transformed into a gate level implementation. This process is known as synthesis. VHDL for Combinational Logic ECE 3450 M. A. Jupina, VU, 2014

Logic Synthesis: convert a description of a digital system in a Hardware Description Language (HDL) to an implementation technology. library ieee; use ieee.std_logic_1164.all; entity majority is port ( A, B, C : in std_logic; Y: out std_logic ); end majority; ARCHITECTURE a of majority is begin Y <= (A and B) or (A and C) or (B and C); end a; HDL description Gates Synthesis Logic Synthesis ECE 3450 M. A. Jupina, VU, 2014

VHDL has a reputation as a complex language (it is!) We will use a small subset of the language for our purposes Some VHDL constructs: –Signal Assignment: A <= B; –Comparisons = (equal), > (greater than), < (less than), etc. –Boolean operations AND, OR, NOT, XOR –Sequential statements (CASE, IF, FOR) –Concurrent statements (when-else) VHDL Statements ECE 3450 M. A. Jupina, VU, 2014

Every VHDL model is composed of an entity and at least one architecture. Entity describes the interface to the model (inputs, outputs) Architecture describes the behavior of the model Can have multiple architectures for one entity (we will only use one in this class). VHDL Combinational Template ECE 3450 M. A. Jupina, VU, 2014

entity model_name is port ( list of inputs and outputs ); end model_name; architecture arch_name of model_name is begin concurrent statement 1 concurrent statement 2... concurrent statement N; end arch_name; All of the text not in italics are VHDL keywords. VHDL is NOT case sensitive. (ENTITY is same as entity is same as EnTiTy). A VHDL Template for Combinational Logic ECE 3450 M. A. Jupina, VU, 2014

library ieee; use ieee.std_logic_1164.all; entity example is port ( A, B, C : in std_logic; Y: out std_logic ); end example; -- this is the architecture declaration, uses only -- one concurrent statement. ARCHITECTURE a of example is begin Y <= (A and B) or (A and C) or (B and C); end a; Description Implementation A VHDL Example of an XOR Gate ECE 3450 M. A. Jupina, VU, 2014

Brown and Vranesic textbook (Sections 2.10 and 4.12 and Appendix A) Course Website  VHDL overview from Hamblen’s book  Dr. Kresch’s VHDL overview  VHDL Files from the textbook VHDL References/Resources ECE 3450 M. A. Jupina, VU, 2014

Analog and Mixed Signal VHDL (VHDL-AMS) The IEEE language (VHDL-AMS) is a superset of the IEEE Std (VHDL) that provides capabilities for describing and simulating analog and mixed-signal systems. VHDL-AMS was developed to provide the industry with a high-level design language to master future challenges in both mixed digital and analog system design as well as multi-physics applications. Currently, VHDL-AMS provides simulation only. Future software could provide synthesis. For example, field- programmable analog arrays (FPAA) are being developed. A FPAA containing many (~20) analog function blocks could be configured as DC level shifters, rectifiers, amplifiers, filters, oscillators, comparators, equalizers, etc. ECE 3450 M. A. Jupina, VU, 2014

FPAA Reconfiguration Example While FPAA technology is very young, and still expensive, it could someday be useful for creating communications products that would work on any standard. For instance, a cell phone with a re-programmable analog-to-digital converter would search the entire communications band for a signal, then grab the necessary program from memory to make itself into a code-division multiple access (CDMA), time-division multiple access (TDMA), or global system for mobile communications (GSM) phone. Such a phone could be used in Japan, Europe, or the US without modification. ECE 3450 M. A. Jupina, VU, 2014

VHDL-AMS Code Example -- VHDL-AMS model of an Analog Schmitt Trigger -- Description -- This Schmitt trigger uses a signal to model a simple hysteresis -- loop. When the input voltage exceeds the high threshold, the internal -- state switches to 5 Volts and when the input drops below the low threshold, -- the state switches to 0 Volts. -- The output is an ideal voltage source which contributes to the output -- terminal OutTerminal. -- LIBRARY DISCIPLINES; LIBRARY IEEE; USE DISCIPLINES.ELECTROMAGNETIC_SYSTEM.ALL; USE IEEE.MATH_REAL.ALL; entity AnalogSchmitt is end entity AnalogSchmitt; architecture Hysteresis of AnalogSchmitt is TERMINAL n1,n2 : ELECTRICAL; ECE 3450 M. A. Jupina, VU, 2014

VHDL-AMS Example Continued -- declare a signal to memorize the hysteresis state: SIGNAL State : REAL := 0.0; -- initial state is low QUANTITY vin ACROSS iin THROUGH n1; -- declare a through branch for the output voltage source quantity vout across iout through n2; begin -- the architecture consists of two architecture statements: -- a conditional concurrent signal assignment to implement the hysteresis -- a simultaneous statement to implement the equation for the output source vin == 5.0 * sin(2.0 * math_pi*0.5E3*NOW); -- hysteresis: break State => 0.0 when Vin'above(1.0); -- trigger event when Vin > 1.0 break State => 5.0 when not Vin'above(2.4); -- trigger event when Vin < output voltage source equation: vout == State'Ramp(1.0e-9,1.0e-9); -- the use of ramp assures that when a discontinuity -- in State arises, it is announced to the simulator end architecture Hysteresis; ECE 3450 M. A. Jupina, VU, 2014