Xiaoqing Xu1, Tetsuaki Matsunawa2

Slides:



Advertisements
Similar presentations
Applications of one-class classification
Advertisements

Florida International University COP 4770 Introduction of Weka.
Context-based object-class recognition and retrieval by generalized correlograms by J. Amores, N. Sebe and P. Radeva Discussion led by Qi An Duke University.
Chris A. Mack, Fundamental Principles of Optical Lithography, (c) 2007
Chris A. Mack, Fundamental Principles of Optical Lithography, (c) 2007
Tutorial on Subwavelength Lithography DAC 99
Xiaoqing Xu1, Brian Cline2, Greg Yeric2, Bei Yu1, David Z. Pan1
Methodology for Standard Cell Compliance and Detailed Placement for Triple Patterning Lithography Bei Yu, Xiaoqing Xu, JhihRong Gao, David Z. Pan.
Ahmed Awad Atsushi Takahash Satoshi Tanakay Chikaaki Kodamay ICCAD’14
Multiple Criteria for Evaluating Land Cover Classification Algorithms Summary of a paper by R.S. DeFries and Jonathan Cheung-Wai Chan April, 2000 Remote.
Dual Graph-Based Hot Spot Detection Andrew B. Kahng 1 Chul-Hong Park 2 Xu Xu 1 (1) Blaze DFM, Inc. (2) ECE, University of California at San Diego.
Detailed Placement for Improved Depth of Focus and CD Control Puneet Gupta 1 Andrew B. Kahng 1,2 Chul-Hong Park 2 1 Blaze DFM,
1 Learning to Detect Objects in Images via a Sparse, Part-Based Representation S. Agarwal, A. Awan and D. Roth IEEE Transactions on Pattern Analysis and.
Enhanced Resist and Etch CD Control by Design Perturbation Abstract Etch dummy features are used to reduce CD skew between resist and etch processes and.
Detailed Placement for Improved Depth of Focus and CD Control
Fast and Area-Efficient Phase Conflict Detection and Correction in Standard-Cell Layouts Charles Chiang, Synopsys Andrew B. Kahng, UC San Diego Subarna.
Design Bright-Field AAPSM Conflict Detection and Correction C. Chiang, Synopsys A. Kahng, UC San Diego S. Sinha, Synopsys X. Xu, UC San Diego A. Zelikovsky,
Toward a Methodology for Manufacturability-Driven Design Rule Exploration Luigi Capodieci, Puneet Gupta, Andrew B. Kahng, Dennis Sylvester, and Jie Yang.
Detailed Placement for Leakage Reduction Using Systematic Through-Pitch Variation Andrew B. Kahng †‡ Swamy Muddu ‡ Puneet Sharma ‡ CSE † and ECE ‡ Departments,
Defocus-Aware Leakage Estimation and Control Andrew B. Kahng †‡ Swamy Muddu ‡ Puneet Sharma ‡ CSE † and ECE ‡ Departments, UC San Diego.
Topography-Aware OPC for Better DOF margin and CD control Puneet Gupta*, Andrew B. Kahng*†‡, Chul-Hong Park†, Kambiz Samadi†, and Xu Xu‡ * Blaze-DFM Inc.
Triple Patterning Aware Detailed Placement With Constrained Pattern Assignment Haitong Tian, Yuelin Du, Hongbo Zhang, Zigang Xiao, Martin D.F. Wong.
Distributed Representations of Sentences and Documents
Face Processing System Presented by: Harvest Jang Group meeting Fall 2002.
CS Instance Based Learning1 Instance Based Learning.
Part I: Classification and Bayesian Learning
Collaborative Filtering Matrix Factorization Approach
Toshiba Update 04/09/2006 Data-Driven Prosody and Voice Quality Generation for Emotional Speech Zeynep Inanoglu & Steve Young Machine Intelligence Lab.
L. Karklin, S. Mazor, D.Joshi1, A. Balasinski2, and V. Axelrad3
Machine Learning CUNY Graduate Center Lecture 3: Linear Regression.
Midterm Review Rao Vemuri 16 Oct Posing a Machine Learning Problem Experience Table – Each row is an instance – Each column is an attribute/feature.
1 Logistic Regression Adapted from: Tom Mitchell’s Machine Learning Book Evan Wei Xiang and Qiang Yang.
University of Southern California Department Computer Science Bayesian Logistic Regression Model (Final Report) Graduate Student Teawon Han Professor Schweighofer,
Hyperparameter Estimation for Speech Recognition Based on Variational Bayesian Approach Kei Hashimoto, Heiga Zen, Yoshihiko Nankaku, Akinobu Lee and Keiichi.
CS 782 – Machine Learning Lecture 4 Linear Models for Classification  Probabilistic generative models  Probabilistic discriminative models.
Tao Lin Chris Chu TPL-Aware Displacement- driven Detailed Placement Refinement with Coloring Constraints ISPD ‘15.
Today Ensemble Methods. Recap of the course. Classifier Fusion
Exploiting Context Analysis for Combining Multiple Entity Resolution Systems -Ramu Bandaru Zhaoqi Chen Dmitri V.kalashnikov Sharad Mehrotra.
A Novel Local Patch Framework for Fixing Supervised Learning Models Yilei Wang 1, Bingzheng Wei 2, Jun Yan 2, Yang Hu 2, Zhi-Hong Deng 1, Zheng Chen 2.
Pattern Sensitive Placement For Manufacturability Shiyan Hu, Jiang Hu Department of Electrical and Computer Engineering Texas A&M University College Station,
Multi-Speaker Modeling with Shared Prior Distributions and Model Structures for Bayesian Speech Synthesis Kei Hashimoto, Yoshihiko Nankaku, and Keiichi.
Classification (slides adapted from Rob Schapire) Eran Segal Weizmann Institute.
Predicting Voice Elicited Emotions
Random Forests Ujjwol Subedi. Introduction What is Random Tree? ◦ Is a tree constructed randomly from a set of possible trees having K random features.
Classification Ensemble Methods 1
Improving Support Vector Machine through Parameter Optimized Rujiang Bai, Junhua Liao Shandong University of Technology Library Zibo , China { brj,
Finding τ → μ−μ−μ+ Decays at LHCb with Data Mining Algorithms
Machine Learning in Compiler Optimization By Namita Dave.
Learning Photographic Global Tonal Adjustment with a Database of Input / Output Image Pairs.
Combining multiple learners Usman Roshan. Decision tree From Alpaydin, 2010.
Optimal Relay Placement for Indoor Sensor Networks Cuiyao Xue †, Yanmin Zhu †, Lei Ni †, Minglu Li †, Bo Li ‡ † Shanghai Jiao Tong University ‡ HK University.
1 Discriminative Frequent Pattern Analysis for Effective Classification Presenter: Han Liang COURSE PRESENTATION:
1 A latent information function to extend domain attributes to improve the accuracy of small-data-set forecasting Reporter : Zhao-Wei Luo Che-Jung Chang,Der-Chiang.
1 Double-Patterning Aware DSA Template Guided Cut Redistribution for Advanced 1-D Gridded Designs Zhi-Wen Lin and Yao-Wen Chang National Taiwan University.
Mustafa Gokce Baydogan, George Runger and Eugene Tuv INFORMS Annual Meeting 2011, Charlotte A Bag-of-Features Framework for Time Series Classification.
Ch 1. Introduction Pattern Recognition and Machine Learning, C. M. Bishop, Updated by J.-H. Eom (2 nd round revision) Summarized by K.-I.
Machine Learning Usman Roshan Dept. of Computer Science NJIT.
11 Yibo Lin 1, Xiaoqing Xu 1, Bei Yu 2, Ross Baldick 1, David Z. Pan 1 1 ECE Department, University of Texas at Austin 2 CSE Department, Chinese University.
Date of download: 9/17/2016 Copyright © 2016 SPIE. All rights reserved. The direct self-assembly (DSA)-aware mask synthesis flow. Three functions are unique.
Date of download: 9/20/2016 Copyright © 2016 SPIE. All rights reserved. Top view of the studied mask and the splitting strategy for the investigated LELE.
Experience Report: System Log Analysis for Anomaly Detection
Boosted Augmented Naive Bayes. Efficient discriminative learning of
Supervised Learning Based Model for Predicting Variability-Induced Timing Errors Xun Jiao, Abbas Rahimi, Balakrishnan Narayanaswamy, Hamed Fatemi, Jose.
Trees, bagging, boosting, and stacking
Introduction Feature Extraction Discussions Conclusions Results
Machine Learning Week 1.
Collaborative Filtering Matrix Factorization Approach
GAUSSIAN PROCESS REGRESSION WITHIN AN ACTIVE LEARNING SCHEME
Process Recipe Optimization using Calibrated Simulation Engine
Multivariate Methods Berlin Chen, 2005 References:
Presentation transcript:

A Machine Learning Based Framework for Sub-Resolution Assist Feature Generation Xiaoqing Xu1, Tetsuaki Matsunawa2 Shigeki Nojima2, Chikaaki Kodama2, Toshiya Kotani2 David Z. Pan1 1University of Texas at Austin 2 Toshiba Corporation, Semiconductor & Storage Products Company, Yokohama, Japan First intern from UT-Austin in Toshiba MLG

Outline Introduction on Sub-Resolution Assist Feature Grid-based SRAF Generation A Machine Learning based Approach Experimental Results and Discussions A quick survey on what is SRAF and how to insert SRAF

Optical Proximity Correction What is SRAF? Sub-Resolution Assist Feature Make isolated features more dense Deliver light to target features at proper phase Target Patterns SRAF Generation Optical Proximity Correction Mask patterns fail pass MRC and LCC (a) (b) (c) Introduce a typical computational SRAF role: Delivering light to the main target features at proper phase MRC: mask rule check, LCC: lithographic compliance check (PV band and EPE) Typical mask manufacturing rules for SRAFs include maximum width (max width) rule, minimum space (min space) rule and maximum length (max length) rule The LCC will introduce a lithographic process window in- volving a set of {focus, dose} conditions. Lithography simulations at various conditions are performed to check whether the metrics, such as PV band and edge placement error (EPE), meet the criteria.

How to Insert SRAF’s? – Prior Arts Model-based SRAF Brion/Mentor - US patents Heuristic rectangle insertions High runtime cost Rule-based SRAF [Jun+, SPIE2015] Extract rules from MB SRAF Large rule table size Rule coverage and conflict Large turn-around time Model based, more accurate, but need heuristic rectangular shapes insertion, runtime is an issue Rule based, very fast, but hard to define and extract rules from model based SRAFs, performance is not guaranteed

Our Contributions Machine learning based techniques for SRAF generation are proposed for the first time Novel feature extraction and compaction for consistent SRAF generation Achieve competitive lithographic performance with significant speed up compared with model-based approach within a complete mask optimization flow Model based, more accurate, but need heuristic rectangular shapes insertion, runtime is an issue Rule based, very fast, but hard to define and extract rules from model based SRAFs, performance is not guaranteed

Grid-based SRAF Insertion From ‘Rule’ to ‘Function’/‘Model’ y = F(x), x is the position, y is the SRAF label (0 or 1) (x1, y1=1) (x2, y2=0) (x3, y3=1) (x4, y4=0) ~ grid error … … Grid Position: X={xk} SRAF label: Y={yk} Grid-based insertion: Y = F(X) x2 x3 Our method is still essentially ‘rule’ based, but this rule is a function and can be generalized to any arbitrary target shapes. Put a grid plane in the design and extract generic rule from model based SRAF results x1 x4

Machine Learning Extract and Apply the grid-based SRAF insertion Classification model calibrated using training data SRAF generation using classification model and simplification Classification Model Training patterns with model-based SRAFs Model training Extract and optimize features (X0) and instances (Y0) Training Phase Testing patterns Extract and optimize features (X) SRAF generation Testing Phase Go over the machine learning flow on how to extract the generic rule, which is the classification model from the machine learning perspective. X is called feature vector and Y is called instance/observation

Feature Extraction Constrained Concentric Circle w/ Area Sampling Feature vector x0 and SRAF label y0 for each grid Need to differentiate grids in different positions on the plane, concentric circle sampling can do that. Go over how to extract the feature for each grid x0

An Example Feature matrix for each grid 1 1 x0 Matrix: M * N 1 1 x0 Need to differentiate grids in different positions on the plane, concentric circle sampling can do that. Go over how to extract the feature for each grid x0 Matrix: M * N M: number of circles N: number of sub-sampling points in each circle

Feature Compaction Symmetric grids share the same feature Rolling/Flipping(RF) matrix rows Feature optimization based on the source shape symmetry. Axial symmetry or rotational symmetry

An Example Symmetric optical conditions for x0 and x1 Rolling/Flipping(RF) matrix rows  RF(x0) = RF(x1) Quadrant Analysis RF(x0) 1 1 x1 1 Feature optimization based on the source shape symmetry. Axial symmetry or rotational symmetry x0 Symmetric grids share same features

Classification Model Input: training data: (X0, Y0) = {(x0, y0)} Output: a classification model: Y = F(X) Logistic Regression p(x) = 1/(1+exp(-wT*x)) Maximum likelihood method with L2 regularization Decision Tree Construct a decision tree from training data Gini index metric is used to decide the best splits at each step of calibration Simple models work Logistic model is a simple classification model.

Probability Maximum Prediction with probability maximum Calculate the probability to be label 1 at each grid Better than direct SRAF label prediction The logistic regression can directly calculate probability With the Gini index, the DTree classifier can estimate the probability of a label for each data sample by calculating the fraction samples of that label in a leaf

Lithography Compliance Check Avoid SRAF printing and better mask manufacturability Prediction results from the logistic regression tend to cluster to denote the SRAF position and size. A post processing step is needed to avoid SRAF printing and high cost of mask manufacturing

Experimental Results Benchmarks Complete mask optimization flow Calibre lithography conditions in an industry setup 70nm width, 140nm minimum pitch for contact holes Mentor Calibre test patterns and random contact hole Complete mask optimization flow Model-based SRAF, OPC and LCC implemented in Mentor Calibre Machine learning based SRAF generation implemented in Python and accelerated by Cython

Evaluation Metrics Lithography simulation contours at a set of {focus, dose} conditions Process variation band (PV band) Edge placement error (EPE)

Training Data Include sparse and dense contacts Selected through extensive empirical studies Only one quadrant is used Explain why we choose this training data and why the lower left quadrant is enough for training Basic idea is that one SRAF feature helps at most two contact holes~ The training data incorporate the two contact hole interactions and single contact hole SRAF insertion information~ The potential issue is poor prediction performance on dense patterns~

SRAF Consistency Need symmetric SRAFs for single contact hole MB-SRAF vs ML w/o feature compaction vs ML w/ feature compaction (from left to right)

Prediction with Probability Maxima Simply SRAF predictions are not enough SRAF label predictions vs predictions with probability maximum (from left to right)

Testing Data Regular and random patterns

Process Variation Band (PV Band) Compared with model based SRAF Logistic regression better than decision tree ~2.12% degradation of PV band for logistic regression

Edge Placement Error (EPE) Nominal contour Logistic regression is the best, slightly better than model based SRAF For a robust mask optimization flow, it is hard to simultaneous improve the PV band and EPE.

Runtime ~10x faster within the lithographic window Areas range from 1um2 to 2um2

Summary A machine learning based framework is proposed for the SRAF generation A robust feature extraction scheme and a novel feature compaction technique to improve the SRAF consistency. 10X speed up in layout windows with comparable performance compared with an industry strength model-based approach.

Thanks Q&A

Back up

CCCAS - Parameters Grid size (control) g = 5/10/15/20/25nm For machine learning (ML): data size Window size/diameter: D = 1200nm (empirical) For ML: feature dimension and accuracy Number of circles M = floor((600 - 100)/15) = 33 Number of points in each circle N = 180/theta, tan(theta) = w/D,

Results