C.A.D.: Bookshelf June 18, 8:00am-11:00am. Outline Review: [some of] bookshelf objectives Where we want to go vs what we have now Invited presentations.

Slides:



Advertisements
Similar presentations
Capo: Robust and Scalable Open-Source Min-cut Floorplacer Jarrod A. Roy, David A. Papa,Saurabh N. Adya, Hayward H. Chan, James F. Lu, Aaron N. Ng, Igor.
Advertisements

Optimization of Placement Solutions for Routability Wen-Hao Liu, Cheng-Kok Koh, and Yih-Lang Li DAC’13.
Ripple: An Effective Routability-Driven Placer by Iterative Cell Movement Xu He, Tao Huang, Linfu Xiao, Haitong Tian, Guxin Cui and Evangeline F.Y. Young.
Consistent Placement of Macro-Blocks Using Floorplanning and Standard-Cell Placement Saurabh Adya Igor Markov (University of Michigan)
FastPlace: Efficient Analytical Placement using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model FastPlace: Efficient Analytical Placement.
: Executable Extensions of the Bookshelf Igor Markov University of Michigan, EECS DARPA.
CZECH STATISTICAL OFFICE | Na padesatem 81, Prague 10 | Jitka Prokop, Czech Statistical Office SMS-QUALITY The project and application.
Calibrating Achievable Design Roundtable Discussion June 9, 2002 Facilitator: Bill Joyner, IBM/SRC Wayne Dai, Andrew Kahng, Tsu-Jae King, Wojciech Maly,
International Conference on Computer-Aided Design San Jose, CA Nov. 2001ER UCLA UCLA 1 Congestion Reduction During Placement Based on Integer Programming.
March 2002 update for GSRC Igor L. Markov University of Michigan.
DARPA Bookshelf For VLSI CAD Algorithms: Progress and Future Directions Andrew E. Caldwell, Andrew B. Kahng and Igor L. Markov.
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
Constructive Benchmarking for Placement David A. Papa EECS Department University of Michigan Ann Arbor, MI Igor L. Markov EECS.
On the Relevance of Wire Load Models Kenneth D. Boese, Cadence Design Systems, San Jose Andrew B. Kahng, UCSD CSE and ECE Depts., La Jolla Stefanus Mantik,
Benchmarking for Large-Scale Placement and Beyond S. N. Adya, M. C. Yildiz, I. L. Markov, P. G. Villarrubia, P. N. Parakh, P. H. Madden.
An Analytic Placer for Mixed-Size Placement and Timing-Driven Placement Andrew B. Kahng and Qinke Wang UCSD CSE Department {abk, Work.
Stability and Scalability in Global Routing S. K. Han 1, K. Jeong 1, A. B. Kahng 1,2 and J. Lu 2 1 ECE Department, UC San Diego 2 CSE Department, UC San.
Andrew Kahng – November 2002 ICCAD-2002 Open Source Panel Andrew B. Kahng UC San Diego CSE & ECE Depts. Igor L. Markov Univ. of Michigan EECS Dept.
Architectural-Level Prediction of Interconnect Wirelength and Fanout Kwangok Jeong, Andrew B. Kahng and Kambiz Samadi UCSD VLSI CAD Laboratory
Benchmarking for [Physical] Synthesis Igor Markov and Prabhakar Kudva The Univ. of Michigan / IBM.
Placement Feedback: A Concept and Method for Better Min-Cut Placements Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La.
On Legalization of Row-Based Placements Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La Jolla, CA 92093
Andrew Kahng – October Layout Planning of Mixed- Signal Integrated Circuits Chung-Kuan Cheng / Andrew B. Kahng UC San Diego CSE Department.
“Bookshelf.exe”: Executable Extensions of the Bookshelf Igor Markov University of Michigan, EECS DARPA.
CAD and Design Tools for On- Chip Networks Luca Benini, Mark Hummel, Olav Lysne, Li-Shiuan Peh, Li Shang, Mithuna Thottethodi,
1 A Tale of Two Nets: Studies in Wirelength Progression in Physical Design Andrew B. Kahng Sherief Reda CSE Department University of CA, San Diego.
Can Recursive Bisection Alone Produce Routable Placements? Andrew E. Caldwell Andrew B. Kahng Igor L. Markov Supported by Cadence.
abk C.A.D. Agenda u Roadmapping: “Living Roadmaps” for systems u SiP physical implementation platforms (CLC, SOS) s Tools needs u Interfaces and.
Large-Scale Optimization in VLSI CAD Igor Markov
DUSD(Labs) GSRC bX update March 2003 Aaron Ng, Marius Eriksen and Igor Markov University of Michigan.
Accurate Pseudo-Constructive Wirelength and Congestion Estimation Andrew B. Kahng, UCSD CSE and ECE Depts., La Jolla Xu Xu, UCSD CSE Dept., La Jolla Supported.
A Proposal for Routing-Based Timing-Driven Scan Chain Ordering Puneet Gupta 1 Andrew B. Kahng 1 Stefanus Mantik 2
ISPD 2000, San DiegoApr 10, Requirements for Models of Achievable Routing Andrew B. Kahng, UCLA Stefanus Mantik, UCLA Dirk Stroobandt, Ghent.
A Resource-level Parallel Approach for Global-routing-based Routing Congestion Estimation and a Method to Quantify Estimation Accuracy Wen-Hao Liu, Zhen-Yu.
POLAR 2.0: An Effective Routability-Driven Placer Chris Chu Tao Lin.
J.A. Carballo IBM Corporate Venture Group Blade.org Summit CAD Research, Pay Now or Pay Later... ICCAD-2006 Monday Evening Panel Andrew B. Kahng Professor,
Placement-Centered Research Directions and New Problems Xiaojian Yang Amir Farrahi Synplicity Inc.
International Symposium of Physical Design San Diego, CA April 2002ER UCLA UCLA 1 Experimental Setup Cadence QPlace Cadence WRoute LEF/DEFLEF/DEF Dragon.
MASTERS THESIS DEFENSE QBANK A Web-Based Dynamic Problem Authoring Tool BY ANN PAUL ADVISOR: PROFESSOR CLIFF SHAFFER JUNE 2013 Computer Science Department.
© R.A. Rutenbar 2005 Early Research Experience With OpenAccess Gear : An Open Source Development Environment For Physical Design Zhong Xiu*, David A. Papa.
On Libraries, Reuse, and the Value of EDA Software Igor Markov Univ. of Michigan & Synplicity.
Horizontal Benchmark Extension for Improved Assessment of Physical CAD Research Andrew B. Kahng, Hyein Lee and Jiajia Li UC San Diego VLSI CAD Laboratory.
March 20, 2007 ISPD An Effective Clustering Algorithm for Mixed-size Placement Jianhua Li, Laleh Behjat, and Jie Huang Jianhua Li, Laleh Behjat,
UC San Diego / VLSI CAD Laboratory Incremental Multiple-Scan Chain Ordering for ECO Flip-Flop Insertion Andrew B. Kahng, Ilgweon Kang and Siddhartha Nath.
Seeing the Forest and the Trees: Steiner Wirelength Optimization in Placement Jarrod A. Roy, James F. Lu and Igor L. Markov University of Michigan Ann.
Study on How to Improve the Quality of Official Statistics and Provide Accurately Categorized Data SAFE Shanghai Branch Deputy Director-General Lv Jinzhong.
1/24/20071 ECO-system: Embracing the Change in Placement Jarrod A. Roy and Igor L. Markov University of Michigan at Ann Arbor.
Placement. Physical Design Cycle Partitioning Placement/ Floorplanning Placement/ Floorplanning Routing Break the circuit up into smaller segments Place.
Session 10: The ISPD2005 Placement Contest. 2 Outline  Benchmark & Contest Introduction  Individual placement presentation  FastPlace, Capo, mPL, FengShui,
Large Scale Circuit Placement: Gap and Promise Jason Cong UCLA VLSI CAD LAB 1 Joint work with Chin-Chih Chang, Tim Kong, Michail Romesis, Joseph R. Shinnerl,
Recursive Bisection Placement*: feng shui 5.0 Ameya R. Agnihotri Satoshi Ono Patrick H. Madden SUNY Binghamton CSD, FAIS, University of Kitakyushu (with.
Reporting of Standard Cell Placement Results Patrick H. Madden SUNY Binghamton CSD BLAC CAD Group
CSE 242A Integrated Circuit Layout Automation Lecture 1: Introduction Winter 2009 Chung-Kuan Cheng.
International Workshop on System-Level Interconnection Prediction, Sonoma County, CA March 2001ER UCLA UCLA 1 Wirelength Estimation based on Rent Exponents.
Optimality, Scalability and Stability study of Partitioning and Placement Algorithms Jason Cong, Michail Romesis, Min Xie UCLA Computer Science Department.
The EDA Laboratory The Electronic Design Automation Lab (EDALab) Po-Ya Hsu 2013/6/7.
Routability-driven Floorplanning With Buffer Planning Chiu Wing Sham Evangeline F. Y. Young Department of Computer Science & Engineering The Chinese University.
Outline Motivation and Contributions Related Works ILP Formulation
International Symposium on Physical Design San Diego, CA April 2002ER UCLA UCLA 1 Routability Driven White Space Allocation for Fixed-Die Standard-Cell.
Design Automation Conference (DAC), June 6 th, Taming the Complexity of Coordinated Place and Route Jin Hu †, Myung-Chul Kim †† and Igor L. Markov.
Effective Linear Programming-Based Placement Techniques Sherief Reda UC San Diego Amit Chowdhary Intel Corporation.
“Bookshelf.exe”: Executable Extensions of the Bookshelf Marius Eriksen and Igor Markov University of Michigan, EECS.
Interconnect Characteristics of 2.5-D System Integration Scheme Yangdong (Steven) Deng & Wojciech P. Maly
OpenAccess Gear David Papa 1 Zhong Xiu 2, Christoph Albrecht, Philip Chong, Andreas Kuehlmann 3 Cadence Berkeley Labs 1 University of Michigan, 2 Carnegie.
CAD-IP Reuse via the Bookshelf for Fundamental VLSI CAD Algorithms
On the Relevance of Wire Load Models
HeAP: Heterogeneous Analytical Placement for FPGAs
Revisiting and Bounding the Benefit From 3D Integration
Verilog to Routing CAD Tool Optimization
ICCAD-2002 Open Source Panel Andrew B
Presentation transcript:

C.A.D.: Bookshelf June 18, 8:00am-11:00am

Outline Review: [some of] bookshelf objectives Where we want to go vs what we have now Invited presentations –Herman Schmit, CMU –Paul Villarrubia, IBM Technology Group On-going work Current challenges Future foci ?

Review: [some of] our objectives to provide benchmarking infrastructure relevant for –research –publishing –industrial use offer high-qualify design tools –preferably open-source integrate design tools into tool flows

Where we are now So far, mainly focused on physical design Available now –partitioning, floorplanning and placement benchmarks –floorplanner in Java (no source?) –partitioners (MLPart, hMetis) –placers (Capo, Dragon, Feng Shui) –a global router (Labyrinth) –a DB with LEF/DEF parsers and PERL/Tcl/Python interfaces –scan chain slot with codes –RSMT/RMST and BST slot with codes, etc

Where we are now (cont’d) Know-how regarding integration with Cadence and IBM P&R tools Links to lots of related general-purpose goodies –network-flow solvers –LP and non-linear solvers –etc, etc...

We need Feedback from the industry and use by the industry More integration, esp. with commercial tools Wider participation and adoption Need to refine future focus

Industrial participation/requirements and vertical benchmarking Paul Villarrubia, IBM –"An overview of important features for industrial placement problems" –Issues: relevant benchmarks and industrial adoption Herman Schmit, CMU –"The Vertical Benchmarking Project at CMU" –Issues: relevant benchmarks and design tool integration

On-going work Ivan Kourtev: optimal clock skew scheduling C.-K. Cheng: interconnect delay/timing analysis John Lillis: SITS Integration and comparisons with commercial tools –Cadence Pearl, WarpRoute (UCLA, UMich) e.g., CapoT > Pearl > WarpRoute > Pearl –IBM ChipBench (UMich, IBM) e.g., CapoT > EinsTimer > XRouter > EinsTimer

On-going work (cont’d) “Simple" (but not easy) benchmarks w/o all bells and whistles –solvable with both commercial and academic tools –can give apples-to-apples comparisons –WL-driven and timing-driven placement (UCLA, UMich) –routing benchmarks (UMich)

On-going work (cont’d) New tools –an open-source floorplanner in C++ (UMich) –more versatile open-source routing tools (UMich, SUNY, etc) –UCSD is committed to filling in special engines (clock, power, test, area fill, etc.) that are needed to get reasonably complete layouts

Discussion: current challenges “Separating" global and detail routing (data format, evaluations, at least one engin for each) “Merging" floorplanning and large-scale placement Correlating and anti-correlating –placement wirelength with routability and routed WL –placement wirelength with timing objectvies –formulating and validating "simple"/clean design metrics and optimization objectives for consistent research in multiple groups (e.g., the overflow metric used by Majid Sarrafzadeh's group)

Future foci ? More attention to data-modeling ? Fully open-source CAD design flows? Comprehensiveness Formulations of open problem submitted by the industry ? –remember the "Top-ten list for Physical ISPD ? More formal and automated interface –peer reviews for some of bookshelf content –hit statistics Lobbying for an official status with DAC ?

Future foci(?) cont’d “Same old" –more benchmarks ? –better tools ? –more empirical comparisons ?

Conclusions…