12 June 2016 Slide 1 2 s 2.org Vmin Estimate - - - - Model 50K-point IS o/□/Δ MC <5% Vmin error relative to MC (<4.7 σ ) Excellent agreement.

Slides:



Advertisements
Similar presentations
Barcelona Forum on Ph.D. Research in Communications, Electronics and Signal Processing 21st October 2010 Soft Errors Hardening Techniques in Nanometer.
Advertisements

Subthreshold SRAM Designs for Cryptography Security Computations Adnan Gutub The Second International Conference on Software Engineering and Computer Systems.
Semiconductor Memory Design. Organization of Memory Systems Driven only from outside Data flow in and out A cell is accessed for reading by selecting.
University of Michigan Electrical Engineering and Computer Science University of Michigan Electrical Engineering and Computer Science University of Michigan.
Robust Low Power VLSI R obust L ow P ower VLSI Sub-threshold Sense Amplifier (SA) Compensation Using Auto-zeroing Circuitry 01/21/2014 Peter Beshay Department.
Slide 1 Bayesian Model Fusion: Large-Scale Performance Modeling of Analog and Mixed- Signal Circuits by Reusing Early-Stage Data Fa Wang*, Wangyang Zhang*,
A Robust, Fast Pulsed Flip- Flop Design By: Arunprasad Venkatraman Rajesh Garg Sunil Khatri Department of Electrical and Computer Engineering, Texas A.
Stochastic Analog Circuit Behavior Modeling by Point Estimation Method
Maintaining Data Integrity in Programmable Logic in Atmospheric Environments through Error Detection Joel Seely Technical Marketing Manager Military &
SRAM Mohammad Sharifkhani. Effect of Mismatch.
Bo XinRare D Semileptonic Decays 14/23/2006 Studies of Rare Semileptonic D Meson Decays  Introduction  Analysis Technique  Testing the procedure  Results.
1 A Variation-tolerant Sub- threshold Design Approach Nikhil Jayakumar Sunil P. Khatri. Texas A&M University, College Station, TX.
Die-Hard SRAM Design Using Per-Column Timing Tracking
Low-Power CMOS SRAM By: Tony Lugo Nhan Tran Adviser: Dr. David Parent.
TeraPixel APS for CALICE Progress meeting 9th Dec 2005 Jamie Crooks, Microelectronics/RAL.
Low Voltage Low Power Dram
Digital Temperature Sensing in a Variable Supply Environment EE241 Term Project Matthew Spencer Steven Callender Spring 2009.
Low power CDN. SPEED Operate vdd at half rails Data should operate at full rails.
TOWARDS AN EARLY DESIGN SPACE EXPLORATION TOOL SET FOR STT-RAM DESIGN Philip Asare and Ben Melton.
EE415 VLSI Design DYNAMIC LOGIC [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Jennifer Winikus Computer Engineering Seminar Michigan Technological University February 10,2011 2/10/2011J Winikus EE
SRAM DESIGN PROJECT PHASE 2 Nirav Desai VLSI DESIGN 2: Prof. Kia Bazargan Dept. of ECE College of Science and Engineering University of Minnesota,
הפקולטה למדעי ההנדסה Faculty of Engineering Sciences.
Large-Scale SRAM Variability Characterization Chip in 45nm CMOS  High end microprocessors continue to require larger on-die cache memory  > 6σ of statistics.
Chapter 3 Internal Memory. Objectives  To describe the types of memory used for the main memory  To discuss about errors and error corrections in the.
A 256kb Sub-threshold SRAM in 65nm CMOS
Chapter 4 Stochastic Modeling Prof. Lei He Electrical Engineering Department University of California, Los Angeles URL: eda.ee.ucla.edu
NanoRelay Pros: High Ion:Ioff Excellent Ioff Robust to temperature/radiation Embedded memory (hysterisis) Potential for RF device and sensor and hybrid.
McKenneman, Inc. SRAM Proposal Design Team: Jay Hoffman Tory Kennedy Sholanda McCullough.
Guy Lemieux, Mehdi Alimadadi, Samad Sheikhaei, Shahriar Mirabbasi University of British Columbia, Canada Patrick Palmer University of Cambridge, UK SoC.
UPoN Lyon 2008 G. Albareda 1 G.Albareda, D.Jimenez and X.Oriols Universitat Autònoma de Barcelona - Spain E.mail: Can analog and.
Parallel & Distributed Systems and Algorithms for Inference of Large Phylogenetic Trees with Maximum Likelihood Alexandros Stamatakis LRR TU München Contact:
Project SRAM Stevo Bailey Kevin Linger Roger Lorenzo John Thompson ECE 4332: Intro to VLSI.
Physical Memory and Physical Addressing By Alex Ames.
Weak SRAM Cell Fault Model and a DFT Technique Mohammad Sharifkhani, with special thanks to Andrei Pavlov University of Waterloo.
Probabilistic methods in Open Earth Tools Ferdinand Diermanse Kees den Heijer Bas Hoonhout.
Low-Power BIST (Built-In Self Test) Overview 10/31/2014
Copyright © 2010 Houman Homayoun Houman Homayoun National Science Foundation Computing Innovation Fellow Department of Computer Science University of California.
Patricia Gonzalez Divya Akella VLSI Class Project.
Scaling I Mohammad Sharifkhani. Reading Text book II (pp. 123)
Design For Manufacturability in Nanometer Era
HW5 and Final Project Yield Estimation and Optimization for 6-T SRAM Cell Fang Gong
EE 201C Homework 4 [Due on Feb 26, 2013] Wei Wu
Asynchronous SRAM in 45nM CMOS NCSU Free PDK Paper ID: CSMEPUN International Conference on Computer Science and Mechanical Engineering 10 th November.
EE 653: Group #3 Impact of Drowsy Caches on SER Arjun Bir Singh Mohammad Abdel-Majeed Sameer G Kulkarni.
STT-RAM Circuit Design
Unified Adaptivity Optimization of Clock and Logic Signals Shiyan Hu and Jiang Hu Dept of Electrical and Computer Engineering Texas A&M University.
YASHWANT SINGH, D. BOOLCHANDANI
Modeling of Failure Probability and Statistical Design of Spin-Torque Transfer MRAM (STT MRAM) Array for Yield Enhancement Jing Li, Charles Augustine,
Designing a Low Power SRAM for PICo
Rouwaida Kanj, *Rajiv Joshi, and Sani Nassif
Fang Gong HW5 and Final Project Yield Estimation and Optimization for 6-T SRAM Cell Fang Gong
Short Pulse Reading for STT-RAM
Defining Statistical Sensitivity for Timing Optimization of Logic Circuits with Large-Scale Process and Environmental Variations Xin Li, Jiayong Le, Mustafa.
Maintaining Data Integrity in Programmable Logic in Atmospheric Environments through Error Detection Joel Seely Technical Marketing Manager Military &
EE201C Modeling of VLSI Circuits and Systems Final Project
Chapter 4a Stochastic Modeling
Analytical Delay and Variation Modeling for Subthreshold Circuits
Analytical Delay and Variation Modeling for Subthreshold Circuits
An Illustration of 0.1µm CMOS layout design on PC
EE201C Modeling of VLSI Circuits and Systems Final Project
Analyzing Sub-threshold Bitcell Topologies and the Effects of Assist Methods on SRAM Vmin By: James Boley.
Chapter 4a Stochastic Modeling
J/   analysis: results for ICHEP
R.W. Mann and N. George ECE632 Dec. 2, 2008
500 nm WRITE VOLTAGE 0 V.
EE 201C Homework 5 [Due on March 12, 2012]
md-NUV PET project meeting
STT-RAM Design Fengbo Ren Advisor: Prof. Dejan Marković Dec. 3rd, 2010
Literature Review A Nondestructive Self-Reference Scheme for Spin-Transfer Torque Random Access Memory (STT-RAM) —— Yiran Chen, et al. Fengbo Ren 09/03/2010.
Presentation transcript:

12 June 2016 Slide s 2.org Vmin Estimate Model 50K-point IS o/□/Δ MC <5% Vmin error relative to MC (<4.7 σ ) Excellent agreement with IS beyond 5 σ Speed up of ~10 5 x over MC Speed up of ~1.7x over IS Application 1 : for a yield or cell failure probability (P), estimate Vmin

12 June 2016 Slide s 2.org Yield / Cell Failure Probability Estimate Model ______ IS o/□/Δ MC A B C D Vmin RangeP wf / P rf / P hf Assist Strategy AP wf <<P rf read assist only BP wf <1e-4; P rf <1e-4moderate read and write assist CP wf >1e-4; P rf >1e-4aggressive read and write assist DP hf becomes significantneed assistance for hold too Application 2 : at a VDD point, estimate the cell failure probability

12 June 2016 Slide s 2.org  Generic for hold, read and write  Easy to use  Run 1000 Monte Carlo simulation for SNM 0 at each VDD point (e.g. 0.5, 0.6, …, 1.0V)  Extract statistical sensitivity of SNM 0 to VDD  Use the theoretical model to estimate Vmin for a given SRAM yield or cell failure probability  OR estimate the cell failure probability or SRAM yield at a given VDD  Accurate: <5.0% error relative to MC, excellent agreement with IS  Fast: ≥10 5  speed-up for larger SRAMs over MC  Verified with both 90nm and 45nm node Statistical Method Summary

12 June 2016 Slide s 2.org SOI 0.18u Test Chip for Sub-V T SRAM Assess various cell structures (6T, 8T, 10T, sym, asym) Assess various assist methods for read/write/hold In fab now

12 June 2016 Slide s 2.org Exploration of Low Voltage eDRAM Negative WL can exponentially increase Tref (VQ is discharged from 0.4V to 0.3V) Node can be charged to 0.4V with a boosted WLVDD (>0.6V) within 100nS 1Kb eDRAM on SOI 0.18u test chip (C Q =9.52fF) Logic-based eDRAM shows potential advantages in strong inversion [1,2] Can eDRAM compete with SRAM at lower voltage ? Benefits: area, leakage Difficulties: refresh time, write time, smaller signal to sense [1] J. Barth et al. ISSCC 2007 [2] D. Somasekhar et al. ISSCC 2008