Fundamentals of Digital System Design Pradondet Nilagupta Lecture 7: Flip-flops, Registers, Counters Chapter 7.

Slides:



Advertisements
Similar presentations
Flip-Flops, Registers, Counters, and a Simple Processor
Advertisements

EE2420 – Digital Logic Summer II 2013
1 Sequential Circuits Dr. Pang. 2 Outline Introduction to sequential circuits Basic latch Gated SR latch and gated D latch D flip-flop, T flip-flop, JK.
Dr. ClincyLecture1 Appendix A – Part 2: Logic Circuits Current State or output of the device is affected by the previous states Circuit Flip Flops New.
1 VLSI DESIGN USING VHDL Part II A workshop by Dr. Junaid Ahmed Zubairi.
Sequential-Circuit Building Blocks
FPGAs and VHDL Lecture L12.1. FPGAs and VHDL Field Programmable Gate Arrays (FPGAs) VHDL –2 x 1 MUX –4 x 1 MUX –An Adder –Binary-to-BCD Converter –A Register.
CSCI 660 EEGN-CSCI 660 Introduction to VLSI Design Lecture 3 Khurram Kazi Some of the slides were taken from K Gaj ’ s lecture slides from GMU ’ s VHDL.
Kazi Fall 2006 EEGN 4941 EEGN-494 HDL Design Principles for VLSI/FPGAs Khurram Kazi Some of the slides were taken from K Gaj’s lecture slides from GMU’s.
CSCI 660 EEGN-CSCI 660 Introduction to VLSI Design Lecture 2 Khurram Kazi Some of the slides were taken from K Gaj ’ s lecture slides from GMU ’ s VHDL.
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448.
Simple Testbenches Behavioral Modeling of Combinational Logic
Counters and Shift Registers
ECE 545 Lecture 7 Behavioral Modeling of Sequential-Circuit Building Blocks Mixing Design Styles Modeling of Circuits with a Regular Structure.
CS/EE 3700 : Fundamentals of Digital System Design
Figure 7.1. Control of an alarm system. Memory element Alarm Sensor Reset Set OnOff 
Data Flow Modeling of Combinational Logic Simple Testbenches
CoE3DJ4 Digital Systems Design Register transfers, sequencing and control (from chapters 7 and 8 of Mano and Kime)
A.7 Concurrent Assignment Statements Used to assign a value to a signal in an architecture body. Four types of concurrent assignment statements –Simple.
Figure 5.1 Conversion from decimal to binary. Table 5.1 Numbers in different systems.
CprE / ComS 583 Reconfigurable Computing Prof. Joseph Zambreno Department of Electrical and Computer Engineering Iowa State University Lecture #17 – Introduction.
Chapter 10 State Machine Design. 2 State Machine Definitions State Machine: A synchronous sequential circuit consisting of a sequential logic section.
1 Sequential Logic Lecture #7. 모바일컴퓨팅특강 2 강의순서 Latch FlipFlop Shift Register Counter.
CprE / ComS 583 Reconfigurable Computing
1 ECE 545 – Introduction to VHDL ECE 545 Lecture 4 Behavioral & Structural Design Styles.
ENG241 Digital Design Week #8 Registers and Counters.
Instructor: Alexander Stoytchev CprE 281: Digital Logic.
Instructor: Alexander Stoytchev CprE 281: Digital Logic.
Reaction Timer Project
Mixed Style RTL Modeling
Instructor: Alexander Stoytchev
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
George Mason University Behavioral Modeling of Sequential-Circuit Building Blocks ECE 545 Lecture 6 Mixing Design Styles.
Figure 7.6. Gated SR latch. (a) Circuit Q Q R S R S R Clk Q Q S Time (c) Timing diagram Clk ? ? SR xx Q(t) (no change) 0 1.
© 2009 Pearson Education, Upper Saddle River, NJ All Rights ReservedFloyd, Digital Fundamentals, 10 th ed Digital Logic Design Dr. Oliver Faust.
 Seattle Pacific University EE Logic System DesignCounters-1 Shift Registers DQ clk DQ DQ ShiftIn Q3Q3 Q2Q2 DQ Q1Q1 Q0Q0 A shift register shifts.
CS/EE 3700 : Fundamentals of Digital System Design
Instructor: Alexander Stoytchev CprE 281: Digital Logic.
Digital System Design using VHDL
George Mason University ECE 448 – FPGA and ASIC Design with VHDL VHDL Coding for Synthesis ECE 448 Lecture 12.
Algorithmic State Machines Sorting Signed & Unsigned Data Types
CDA 4253 FPGA System Design Sequential Circuit Building Blocks Hao Zheng Dept of Comp Sci & Eng USF.
ECE DIGITAL LOGIC LECTURE 20: REGISTERS AND COUNTERS Assistant Prof. Fareena Saqib Florida Institute of Technology Fall 2015, 11/19/2015.
George Mason University Behavioral Modeling of Sequential-Circuit Building Blocks ECE 545 Lecture 8.
Instructor: Alexander Stoytchev CprE 281: Digital Logic.
Instructor: Alexander Stoytchev CprE 281: Digital Logic.
Dept. of Electrical Engineering
Data Flow and Behavioral Modeling in VHDL 1 MS EMBEDDED SYSTEMS.
Instructor: Alexander Stoytchev CprE 281: Digital Logic.
Combinational logic circuit
Describing Combinational Logic Using Processes
Registers and Counters
Figure 7.1 Control of an alarm system
Instructor: Alexander Stoytchev
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
Instructor: Alexander Stoytchev
Instructor: Alexander Stoytchev
Sequential-Circuit Building Blocks
Instructor: Alexander Stoytchev
VHDL (VHSIC Hardware Description Language)
Instructor: Alexander Stoytchev
ECE 545 Lecture 6 Behavioral Modeling of Sequential-Circuit Building Blocks Mixing Design Styles Modeling of Circuits with a Regular Structure.
Behavioral Modeling of Sequential-Circuit Building Blocks
Sequntial-Circuit Building Blocks
Figure 8.1. The general form of a sequential circuit.
CprE / ComS 583 Reconfigurable Computing
Sequntial-Circuit Building Blocks
디 지 털 시 스 템 설 계 UP2 Kit를 이용한 카운터 설계
(Sequential-Circuit Building Blocks)
Presentation transcript:

Fundamentals of Digital System Design Pradondet Nilagupta Lecture 7: Flip-flops, Registers, Counters Chapter 7

Sequential Circuits Combinational – output depends only on the input. Sequential – output depends on input and past behavior. –Require use of storage elements. –Contents of storage elements is called state. –Circuit goes through sequence of states as a result of changes in inputs.

Figure 7.1 Control of an alarm system Memory element Alarm Sensor Reset Set OnOff 

Figure 7.2 A simple memory element AB

Figure 7.3 A controlled memory element AB Output Data Load TG1 TG2

Figure 7.4 A memory element with NOR gates Reset SetQ

Figure 7.5 A latch built with NOR gates SRQ a Q b /11/ (a) Circuit(b) Truth table Time R S Q a Q b Q a Q b ? ? (c) Timing diagram R S t 1 t 2 t 3 t 4 t 5 t 6 t 7 t 8 t 9 t 10 (no change)

Figure 7.6 Gated SR latch (a) Circuit Q Q R S R S R Clk Q Q S Time (c) Timing diagram Clk ? ? SR xx Q(t) (no change) 0 1 Clk Qt1+  Q(t) (no change) x (b) Truth table S Q Q Clk R (d) Graphical symbol

Figure 7.7 Gated SR latch with NAND gates S R Clk Q Q

Figure 7.8 Gated D latch Q S R Clk D (Data) D Q QClk D x Qt1+  Qt  (a) Circuit (b) Truth table(c) Graphical symbol Q

Figure 7.8 Gated D latch Q S R Clk D (Data) (a) Circuit Q t 1 t 2 t 3 t 4 Time Clk D Q (d) Timing diagram

Figure 7.9 Setup and hold times t su t h Clk D Q

Figure 7.10 Master-slave D flip-flop D Q Q MasterSlave D Clock Q Q D Q Q Q m Q s D Q m QQ s = (a) Circuit (b) Timing diagram Clk D Q Q (c) Graphical symbol

Figure 7.11 A positive-edge-triggered D flip-flop D Clock P4 P3 P1 P (a) Circuit D Q Q (b) Graphical symbol Clock Q Q 4

Figure 7.12 Comparison of level-sensitive and edge-triggered D Q Q D Q Q D Q Q D Clock Q a Q b Q c Q c Q b Q a (a) Circuit Clk D Clock Q a Q b (b) Timing diagram Q c

Figure 7.13 Master-slave D flip-flop with Clear and Preset Q Q D Clock (a) Circuit D Q Q Preset Clear (b) Graphical symbol Clear Preset

Figure 7.14 Positive-edge-triggered D flip-flop with Clear and Preset D Clock (a) Circuit Q Q Clear Preset Clear (b) Graphical symbol D Q Q

Figure 7.15 Synchronous reset for a D flip-flop

Figure 7.16 T flip-flop D Q Q Q Q T Clock (a) Circuit Clock T Q (d) Timing diagram T 0 1 Qt1+  Qt  Qt  (b) Truth table T Q Q (c) Graphical symbol

Figure 7.17 JK flip-flop D Q Q Q Q J Clock (a) Circuit JQ Q K 0 1 Qt1+  Qt  0 (b) Truth table(c) Graphical symbol K J Qt  1 K

Summary of Terminology Basic latch – cross-coupled NAND/NOR Gated latch – output changes when clk = 1. –Gated SR latch –Gated D latch Flip-flop – output changes only on clk edge. –Edge-triggered flip-flop –Master-slave flip-flop

Figure 7.18 A simple shift register D Q Q Clock D Q Q D Q Q D Q Q In Out t 0 t 1 t 2 t 3 t 4 t 5 t 6 t Q 1 Q 2 Q 3 Q 4 = In (b) A sample sequence (a) Circuit Q 1 Q 2 Q 3 Q 4

Figure 7.19 A simple shift register Q 3 Q 2 Q 1 Q 0 Clock Parallel input Parallel output Shift/Load Serial input D Q Q D Q Q D Q Q D Q Q

Figure 7.20 A three-bit up-counter T Q Q Clock T Q Q T Q Q 1 Q 0 Q 1 Q 2 (a) Circuit Clock Q 0 Q 1 Q 2 Count (b) Timing diagram

Figure 7.21 A three-bit down-counter T Q Q Clock T Q Q T Q Q 1 Q 0 Q 1 Q 2 (a) Circuit Clock Q 0 Q 1 Q 2 Count (b) Timing diagram

Table 7.1 Derivation of the synchronous up-counter Clock cycle 0080 Q 2 Q 1 Q 0 Q 1 changes Q 2

Figure 7.22 A four-bit synchronous up-counter T Q Q Clock T Q Q T Q Q 1 Q 0 Q 1 Q 2 (a) Circuit Clock Q 0 Q 1 Q 2 Count (b) Timing diagram T Q Q Q 3 Q

Figure 7.23 Inclusion of enable and clear capability T Q Q Clock T Q Q Enable Clear T Q Q T Q Q

Figure 7.24 A four-bit counter with D flip-flops

Figure 7.25 A counter with parallel-load capability

Figure 7.26 A modulo-6 counter with synchronous reset Enable Q 0 Q 1 Q 2 D 0 D 1 D 2 Load Clock Count Q 0 Q 1 Q 2 (a) Circuit (b) Timing diagram

Figure 7.27 A modulo-6 counter with asynchronous reset T Q Q Clock T Q Q T Q Q 1 Q 0 Q 1 Q 2 (a) Circuit Clock Q 0 Q 1 Q 2 Count (b) Timing diagram

Figure 7.28 A two-digit BCD counter Enable Q 0 Q 1 Q 2 D 0 D 1 D 2 Load Clock Q 3 0 D 3 Enable Q 0 Q 1 Q 2 D 0 D 1 D 2 Load Clock Q 3 0 D 3 BCD 0 1 Clear

Figure 7.29 Ring counter

Figure 7.30 Johnson counter D Q Q Clock D Q Q D Q Q Q 0 Q 1 Q n1– Reset

Figure 7.31 Three types of storage elements in a schematic

Figure 7.32 Gated D latch generated by CAD tools Data Clock Latch

Figure 7.33 Implementation of a circuit in a CPLD

Figure 7.34 Timing simulation of storage elements

Figure 7.35 Instantiating a D flip-flop from a package LIBRARY ieee ; USE ieee.std_logic_1164.all ; LIBRARY altera ; USE altera.maxplus2.all ; ENTITY flipflop IS PORT ( D, Clock : IN STD_LOGIC ; Resetn, Presetn: IN STD_LOGIC ; Q: OUT STD_LOGIC ) ; END flipflop ; ARCHITECTURE Structure OF flipflop IS BEGIN dff_instance: dff PORT MAP ( D, Clock, Resetn, Presetn, Q ) ; END Structure ;

Figure 7.36 Implied memory LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY implied IS PORT ( A, B : IN STD_LOGIC ; AeqB: OUT STD_LOGIC ) ; END implied ; ARCHITECTURE Behavior OF implied IS BEGIN PROCESS ( A, B ) BEGIN IF A = B THEN AeqB <= '1' ; END IF ; END PROCESS ; END Behavior ;

Figure 7.37 Code for a gated D latch LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY latch IS PORT ( D, Clk : IN STD_LOGIC ; Q : OUT STD_LOGIC) ; END latch ; ARCHITECTURE Behavior OF latch IS BEGIN PROCESS ( D, Clk ) BEGIN IF Clk = '1' THEN Q <= D ; END IF ; END PROCESS ; END Behavior ;

Figure 7.38 Code for a D flip-flop LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY flipflop IS PORT ( D, Clock: INSTD_LOGIC ; Q: OUTSTD_LOGIC) ; END flipflop ; ARCHITECTURE Behavior OF flipflop IS BEGIN PROCESS ( Clock ) BEGIN IF Clock'EVENT AND Clock = '1' THEN Q <= D ; END IF ; END PROCESS ; END Behavior ;

Figure 7.39 Code for a D flip-flop using WAIT UNTIL LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY flipflop IS PORT (D, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC ) ; END flipflop ; ARCHITECTURE Behavior OF flipflop IS BEGIN PROCESS BEGIN WAIT UNTIL Clock'EVENT AND Clock = '1' ; Q <= D ; END PROCESS ; END Behavior ;

Figure 7.40 D flip-flop with asynchronous reset LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY flipflop IS PORT ( D, Resetn, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC) ; END flipflop ; ARCHITECTURE Behavior OF flipflop IS BEGIN PROCESS ( Resetn, Clock ) BEGIN IF Resetn = '0' THEN Q <= '0' ; ELSIF Clock'EVENT AND Clock = '1' THEN Q <= D ; END IF ; END PROCESS ; END Behavior ;

Figure 7.41 D flip-flop with synchronous reset LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY flipflop IS PORT ( D, Resetn, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC) ; END flipflop ; ARCHITECTURE Behavior OF flipflop IS BEGIN PROCESS BEGIN WAIT UNTIL Clock'EVENT AND Clock = '1' ; IF Resetn = '0' THEN Q <= '0' ; ELSE Q <= D ; END IF ; END PROCESS ; END Behavior ;

Figure 7.42 The lpm_ff parameterized flip-flop module

Figure 7.43 An adder with registered feedback

Figure 7.44 Timing simulation

Timing Constraints 2 ns from register clocked to data output. 8 ns for adder to produce sum. 4 ns for sum to propagate to register input. 3 ns for setup time. Clock cycle time must be 17 ns.

Figure 7.45 Instantiation of the lpm_shiftreg module LIBRARY ieee ; USE ieee.std_logic_1164.all ; LIBRARY lpm ; USE lpm.lpm_components.all ; ENTITY shift IS PORT ( Clock : IN STD_LOGIC ; Reset : IN STD_LOGIC ; Shiftin, Load: IN STD_LOGIC ; R: IN STD_LOGIC_VECTOR(3 DOWNTO 0) ; Q: OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ) ; END shift ; ARCHITECTURE Structure OF shift IS BEGIN instance: lpm_shiftreg GENERIC MAP (LPM_WIDTH => 4, LPM_DIRECTION => "RIGHT") PORT MAP (data => R, clock => Clock, aclr => Reset, load => Load, shiftin => Shiftin, q => Q ) ; END Structure ;

Figure 7.46 Code for an eight-bit register with asynchronous clear LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY reg8 IS PORT ( D: IN STD_LOGIC_VECTOR(7 DOWNTO 0) ; Resetn, Clock: IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ) ; END reg8 ; ARCHITECTURE Behavior OF reg8 IS BEGIN PROCESS ( Resetn, Clock ) BEGIN IF Resetn = '0' THEN Q <= " " ; ELSIF Clock'EVENT AND Clock = '1' THEN Q <= D ; END IF ; END PROCESS ; END Behavior ;

Figure 7.47 Code for an n-bit register with asynchronous clear LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY regn IS GENERIC ( N : INTEGER := 16 ) ; PORT ( D: IN STD_LOGIC_VECTOR(N-1 DOWNTO 0) ; Resetn, Clock: IN STD_LOGIC ; Q: OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0) ) ; END regn ; ARCHITECTURE Behavior OF regn IS BEGIN PROCESS ( Resetn, Clock ) BEGIN IF Resetn = '0' THEN Q '0') ; ELSIF Clock'EVENT AND Clock = '1' THEN Q <= D ; END IF ; END PROCESS ; END Behavior ;

Figure 7.48 Code for a D flip-flop with a 2-to-1 multiplexer on the D input LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY muxdff IS PORT (D0, D1, Sel, Clock: IN STD_LOGIC ; Q : OUT STD_LOGIC ) ; END muxdff ; ARCHITECTURE Behavior OF muxdff IS BEGIN PROCESS BEGIN WAIT UNTIL Clock'EVENT AND Clock = '1' ; IF Sel = '0' THEN Q <= D0 ; ELSE Q <= D1 ; END IF ; END PROCESS ; END Behavior ;

Figure 7.49 Hierarchical code for a four-bit shift register LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY shift4 IS PORT ( R : IN STD_LOGIC_VECTOR(3 DOWNTO 0) ; L, w, Clock : IN STD_LOGIC ; Q: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) ) ; END shift4 ; ARCHITECTURE Structure OF shift4 IS COMPONENT muxdff PORT ( D0, D1, Sel, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC ) ; END COMPONENT ; BEGIN Stage3: muxdff PORT MAP ( w, R(3), L, Clock, Q(3) ) ; Stage2: muxdff PORT MAP ( Q(3), R(2), L, Clock, Q(2) ) ; Stage1: muxdff PORT MAP ( Q(2), R(1), L, Clock, Q(1) ) ; Stage0: muxdff PORT MAP ( Q(1), R(0), L, Clock, Q(0) ) ; END Structure ;

Figure 7.50 Alternative code for a shift register LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY shift4 IS PORT ( R : IN STD_LOGIC_VECTOR(3 DOWNTO 0) ; Clock : IN STD_LOGIC ; L, w : IN STD_LOGIC ; Q : BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) ) ; END shift4 ; ARCHITECTURE Behavior OF shift4 IS BEGIN PROCESS BEGIN WAIT UNTIL Clock'EVENT AND Clock = '1' ; IF L = '1' THEN Q <= R ; ELSE Q(0) <= Q(1) ; Q(1) <= Q(2); Q(2) <= Q(3) ; Q(3) <= w ; END IF ; END PROCESS ; END Behavior ;

Figure 7.51 Code that reverses the ordering of statements LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY shift4 IS PORT ( R : IN STD_LOGIC_VECTOR(3 DOWNTO 0) ; Clock : IN STD_LOGIC ; L, w : IN STD_LOGIC ; Q : BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) ) ; END shift4 ; ARCHITECTURE Behavior OF shift4 IS BEGIN PROCESS BEGIN WAIT UNTIL Clock'EVENT AND Clock = '1' ; IF L = '1' THEN Q <= R ; ELSE Q(3) <= w ; Q(2) <= Q(3) ; Q(1) <= Q(2); Q(0) <= Q(1) ; END IF ; END PROCESS ; END Behavior ;

Figure 7.52 Code for an n-bit left-to-right shift register LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY shiftn IS GENERIC ( N : INTEGER := 8 ) ; PORT (R : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0) ; Clock : IN STD_LOGIC ; L, w : IN STD_LOGIC ; Q : BUFFER STD_LOGIC_VECTOR(N-1 DOWNTO 0) ) ; END shiftn ; ARCHITECTURE Behavior OF shiftn IS BEGIN PROCESS BEGIN WAIT UNTIL Clock'EVENT AND Clock = '1' ; IF L = '1' THEN Q <= R ; ELSE Genbits: FOR i IN 0 TO N-2 LOOP Q(i) <= Q(i+1) ; END LOOP ; Q(N-1) <= w ; END IF ; END PROCESS ; END Behavior ;

Figure 7.53 Code for a four-bit up-counter LIBRARY ieee ; USE ieee.std_logic_1164.all ; USE ieee.std_logic_unsigned.all ; ENTITY upcount IS PORT ( Clock, Resetn, E : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)) ; END upcount ; ARCHITECTURE Behavior OF upcount IS SIGNAL Count : STD_LOGIC_VECTOR (3 DOWNTO 0) ; BEGIN PROCESS ( Clock, Resetn ) BEGIN IF Resetn = '0' THEN Count <= "0000" ; ELSIF (Clock'EVENT AND Clock = '1') THEN IF E = '1' THEN Count <= Count + 1 ; ELSE Count <= Count ; END IF ; END PROCESS ; Q <= Count ; END Behavior ;

Figure 7.54 A four-bit counter with parallel load, using INTEGER signals LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY upcount IS PORT (R : IN INTEGER RANGE 0 TO 15 ; Clock, Resetn, L : IN STD_LOGIC ; Q : BUFFER INTEGER RANGE 0 TO 15 ) ; END upcount ; ARCHITECTURE Behavior OF upcount IS BEGIN PROCESS ( Clock, Resetn ) BEGIN IF Resetn = '0' THEN Q <= 0 ; ELSIF (Clock'EVENT AND Clock = '1') THEN IF L = '1' THEN Q <= R ; ELSE Q <= Q + 1 ; END IF; END PROCESS; END Behavior;

Figure 7.55 Code for a down-counter LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY downcnt IS GENERIC ( modulus : INTEGER := 8 ) ; PORT (Clock, L, E : IN STD_LOGIC ; Q : OUT INTEGER RANGE 0 TO modulus-1 ) ; END downcnt ; ARCHITECTURE Behavior OF downcnt IS SIGNAL Count : INTEGER RANGE 0 TO modulus-1 ; BEGIN PROCESS BEGIN WAIT UNTIL (Clock'EVENT AND Clock = '1') ; IF E = '1' THEN IF L = '1' THEN Count <= modulus-1 ; ELSE Count <= Count-1 ; END IF ; END PROCESS; Q <= Count ; END Behavior ;

Figure 7.56 A digital system with k registers R1 in Rk in Bus Clock R1 out R2 in R2 out Rk out Control circuit Function R1R2Rk Data Extern

Figure 7.57 Details for connecting registers to a bus

Register Swapping Consider 3 register example (R1, R2, R3) that swaps contents of R1 and R2 using R3. Three steps: –Contents of R2 transferred to R3. –Contents of R1 transferred to R2. –Contents of R3 transferred to R1.

Figure 7.58 A shift-register control circuit D Q Q Clock D Q Q D Q Q w R2 out R3 in  Reset R1 out R2 in  R3 out R1 in 

Figure 7.59 A modified control circuit D Q Q Clock D Q Q D Q Q w R2 out R3 in  R1 out R2 in  R3 out R1 in  P Reset

Figure 7.60 A control circuit that does not require flip-flop preset inputs D Q Q Clock D Q Q D Q Q w R2 out R3 in  R1 out R2 in  R3 out R1 in  Reset

Figure 7.61 Using multiplexers to implement a bus Data R1 in Multiplexers R2 in Rk in Bus Clock S j1– S 0 R1R2Rk

Figure 7.62 Code for an n-bit register with enable LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY regn IS GENERIC ( N : INTEGER := 8 ) ; PORT (R : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0) ; Rin, Clock: IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0) ) ; END regn ; ARCHITECTURE Behavior OF regn IS BEGIN PROCESS BEGIN WAIT UNTIL Clock'EVENT AND Clock = '1' ; IF Rin = '1' THEN Q <= R ; END IF ; END PROCESS ; END Behavior ;

Figure 7.63 Code for an n-bit tri-state buffer LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY trin IS GENERIC ( N : INTEGER := 8 ) ; PORT (X : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0) ; E: IN STD_LOGIC ; F: OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0) ) ; END trin ; ARCHITECTURE Behavior OF trin IS BEGIN F 'Z') WHEN E = '0' ELSE X ; END Behavior ;

Figure 7.64 Code for the shift-register controller LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY shiftr IS -- left-to-right shift register with async reset GENERIC ( K : INTEGER := 4 ) ; PORT (Resetn, Clock, w : IN STD_LOGIC ; Q : BUFFER STD_LOGIC_VECTOR(1 TO K) ) ; END shiftr ; ARCHITECTURE Behavior OF shiftr IS BEGIN PROCESS ( Resetn, Clock ) BEGIN IF Resetn = '0' THEN Q '0') ; ELSIF Clock'EVENT AND Clock = '1' THEN Genbits: FOR i IN K DOWNTO 2 LOOP Q(i) <= Q(i-1) ; END LOOP ; Q(1) <= w ; END IF ; END PROCESS ; END Behavior ;

Figure 7.65 Package and component declarations LIBRARY ieee ; USE ieee.std_logic_1164.all ; PACKAGE components IS COMPONENT regn -- register GENERIC ( N : INTEGER := 8 ) ; PORT ( R : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0) ; Rin, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0) ) ; END COMPONENT ; COMPONENT shiftr -- left-to-right shift register with async reset GENERIC ( K : INTEGER := 4 ) ; PORT( Resetn, Clock, w: IN STD_LOGIC ; Q : BUFFER STD_LOGIC_VECTOR(1 TO K) ) ; END component ; COMPONENT trin -- tri-state buffers GENERIC ( N : INTEGER := 8 ) ; PORT ( X: IN STD_LOGIC_VECTOR(N-1 DOWNTO 0) ; E : IN STD_LOGIC ; F : OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0) ) ; END COMPONENT ; END components ;

Figure 7.66 A digital system with a bus LIBRARY ieee ; USE ieee.std_logic_1164.all ; USE work.components.all ; ENTITY swap IS PORT (Data : IN STD_LOGIC_VECTOR(7 DOWNTO 0) ; Resetn, w : IN STD_LOGIC ; Clock, Extern : IN STD_LOGIC ; RinExt : IN STD_LOGIC_VECTOR(1 TO 3) ; BusWires : INOUT STD_LOGIC_VECTOR(7 DOWNTO 0) ) ; END swap ; ARCHITECTURE Behavior OF swap IS SIGNAL Rin, Rout, Q : STD_LOGIC_VECTOR(1 TO 3) ; SIGNAL R1, R2, R3 : STD_LOGIC_VECTOR(7 DOWNTO 0) ; BEGIN control: shiftr GENERIC MAP ( K => 3 ) PORT MAP ( Resetn, Clock, w, Q ) ; Rin(1) <= RinExt(1) OR Q(3) ; Rin(2) <= RinExt(2) OR Q(2) ; Rin(3) <= RinExt(3) OR Q(1) ; Rout(1) <= Q(2) ; Rout(2) <= Q(1) ; Rout(3) <= Q(3) ;

Figure 7.66 A digital system with a bus tri_ext: trin PORT MAP ( Data, Extern, BusWires ) ; reg1: regn PORT MAP ( BusWires, Rin(1), Clock, R1 ) ; reg2: regn PORT MAP ( BusWires, Rin(2), Clock, R2 ) ; reg3: regn PORT MAP ( BusWires, Rin(3), Clock, R3 ) ; tri1: trin PORT MAP ( R1, Rout(1), BusWires ) ; tri2: trin PORT MAP ( R2, Rout(2), BusWires ) ; tri3: trin PORT MAP ( R3, Rout(3), BusWires ) ; END Behavior ;

Figure 7.67a Using multiplexers to implement a bus LIBRARY ieee ; USE ieee.std_logic_1164.all ; USE work.components.all ; ENTITY swapmux IS PORT ( Data : IN STD_LOGIC_VECTOR(7 DOWNTO 0) ; Resetn, w : IN STD_LOGIC ; Clock : IN STD_LOGIC ; RinExt : IN STD_LOGIC_VECTOR(1 TO 3) ; BusWires : BUFFERSTD_LOGIC_VECTOR(7 DOWNTO 0) ) ; END swapmux ; ARCHITECTURE Behavior OF swapmux IS SIGNAL Rin, Q : STD_LOGIC_VECTOR(1 TO 3) ; SIGNAL S : STD_LOGIC_VECTOR(1 DOWNTO 0) ; SIGNAL R1, R2, R3 : STD_LOGIC_VECTOR(7 DOWNTO 0) ; BEGIN control: shiftr GENERIC MAP ( K => 3 ) PORT MAP ( Resetn, Clock, w, Q ) ; … con’t

Figure 7.67b Using multiplexers to implement a bus (con’t) Rin(1) <= RinExt(1) OR Q(3) ; Rin(2) <= RinExt(2) OR Q(2) ; Rin(3) <= RinExt(3) OR Q(1) ; reg1: regn PORT MAP ( BusWires, Rin(1), Clock, R1 ) ; reg2: regn PORT MAP ( BusWires, Rin(2), Clock, R2 ) ; reg3: regn PORT MAP ( BusWires, Rin(3), Clock, R3 ) ; encoder: WITH Q SELECT S <="00" WHEN "000", "10" WHEN "100", "01" WHEN "010", "11" WHEN OTHERS ; muxes: --eight 4-to-1 multiplexers WITH S SELECT BusWires <=Data WHEN "00", R1 WHEN "01", R2 WHEN "10", R3 WHEN OTHERS ; END Behavior ;

Figure 7.68 Simplified code for describing a bus (ENTITY declaration not shown) ARCHITECTURE Behavior OF swapmux IS SIGNAL Rin, Q : STD_LOGIC_VECTOR(1 TO 3) ; SIGNAL R1, R2, R3 : STD_LOGIC_VECTOR(7 DOWNTO 0) ; BEGIN control: shiftr GENERIC MAP ( K => 3 ) PORT MAP ( Resetn, Clock, w, Q ) ; Rin(1) <= RinExt(1) OR Q(3) ; Rin(2) <= RinExt(2) OR Q(2) ; Rin(3) <= RinExt(3) OR Q(1) ; reg1: regn PORT MAP ( BusWires, Rin(1), Clock, R1 ) ; reg2: regn PORT MAP ( BusWires, Rin(2), Clock, R2 ) ; reg3: regn PORT MAP ( BusWires, Rin(3), Clock, R3 ) ; muxes: WITH Q SELECT BusWires <=DataWHEN "000", R2WHEN "100", R1WHEN "010", R3WHEN OTHERS ; END Behavior ;

Figure 7.69 Timing simulation

Figure 7.70 A digital system that implements a simple processor

Table 7.2 Operations performed in the processor

Figure 7.71 A part of the control circuit for the processor Reset Up-counter Clear w 0 En y 0 w 1 y 1 y 2 y 3 1 T 1 T 2 T 3 2-to-4 decoder Q 1 Q 0 Clock T 0

Figure 7.72 The function registers and decoders Clock X 0 w 0 En y 0 w 1 y 1 y 2 y 3 1 X 1 X 2 X 3 2-to-4 decoder Function Register Y 0 w 0 En y 0 w 1 y 1 y 2 y 3 1 Y 1 Y 2 Y 3 2-to-4 decoder I 0 En y 0 y 1 y 2 y 3 1 I 1 I 2 I 3 2-to-4 decoder FR in f 1 f 0 Rx 1 0 Ry 1 0 w 0 w 1 Function

Processor Control Logic Clear = w T 0 + Done FR in = wT 0 Extern = I 0 T 1 Done = (I 0 + I 1 )T 1 + (I 2 + I 3 )T 3 A in = (I 2 + I 3 )T 1 G in = (I 2 + I 3 )T 2 G out = (I 2 + I 3 )T 3 AddSub = I 3 R0 in = (I 0 + I 1 )T 1 X 0 + (I 2 +I 3 )T 3 X 0 R0 out = I 1 T 1 Y 0 + (I 2 + I 3 )(T 1 X 0 + T 2 Y 0 )

Table 7.3 Control signals asserted in each operation/time step

Figure 7.73 Code for a two-bit up-counter with asynchronous reset LIBRARY ieee ; USE ieee.std_logic_1164.all ; USE ieee.std_logic_unsigned.all ; ENTITY upcount IS PORT (Clear, Clock: IN STD_LOGIC ; Q : BUFFER STD_LOGIC_VECTOR(1 DOWNTO 0) ) ; END upcount ; ARCHITECTURE Behavior OF upcount IS BEGIN upcount: PROCESS ( Clock ) BEGIN IF (Clock'EVENT AND Clock = '1') THEN IF Clear = '1' THEN Q <= "00" ; ELSE Q <= Q + '1' ; END IF ; END PROCESS; END Behavior ;

Figure 7.74a Code for the processor LIBRARY ieee ; USE ieee.std_logic_1164.all ; USE ieee.std_logic_signed.all ; USE work.subccts.all ; ENTITY proc IS PORT (Data : IN STD_LOGIC_VECTOR(7 DOWNTO 0) ; Reset, w : IN STD_LOGIC ; Clock : IN STD_LOGIC ; F, Rx, Ry : IN STD_LOGIC_VECTOR(1 DOWNTO 0) ; Done : BUFFER STD_LOGIC ; BusWires : INOUT STD_LOGIC_VECTOR(7 DOWNTO 0) ) ; END proc ; ARCHITECTURE Behavior OF proc IS SIGNAL Rin, Rout : STD_LOGIC_VECTOR(0 TO 3) ; SIGNAL Clear, High, AddSub : STD_LOGIC ; SIGNAL Extern, Ain, Gin, Gout, FRin : STD_LOGIC ; SIGNAL Count, Zero : STD_LOGIC_VECTOR(1 DOWNTO 0) ; SIGNAL T, I, X, Y : STD_LOGIC_VECTOR(0 TO 3) ; SIGNAL R0, R1, R2, R3 : STD_LOGIC_VECTOR(7 DOWNTO 0) ; SIGNAL A, Sum, G : STD_LOGIC_VECTOR(7 DOWNTO 0) ; SIGNAL Func, FuncReg : STD_LOGIC_VECTOR(1 TO 6) ; … con’t

Figure 7.74b Code for the processor (con’t) BEGIN Zero <= "00" ; High <= '1' ; Clear <= Reset OR Done OR (NOT w AND T(0)) ; counter: upcount PORT MAP (Clear, Clock, Count ) ; decT: dec2to4 PORT MAP ( Count, High, T ); Func <= F & Rx & Ry ; FRin <= w AND T(0) ; functionreg: regn GENERIC MAP ( N => 6 ) PORT MAP ( Func, FRin, Clock, FuncReg ) ; decI: dec2to4 PORT MAP ( FuncReg(1 TO 2), High, I ) ; decX: dec2to4 PORT MAP ( FuncReg(3 TO 4), High, X ) ; decY: dec2to4 PORT MAP ( FuncReg(5 TO 6), High, Y ) ; Extern <= I(0) AND T(1) ; Done <= ((I(0) OR I(1)) AND T(1)) OR ((I(2) OR I(3)) AND T(3)) ; Ain <= (I(2) OR I(3)) AND T(1) ; Gin <= (I(2) OR I(3)) AND T(2) ; Gout <= (I(2) OR I(3)) AND T(3) ; AddSub <= I(3) ; … con’t

RegCntl: FOR k IN 0 TO 3 GENERATE Rin(k) <= ((I(0) OR I(1)) AND T(1) AND X(k)) OR ((I(2) OR I(3)) AND T(3) AND X(k)) ; Rout(k) <= (I(1) AND T(1) AND Y(k)) OR ((I(2) OR I(3)) AND ((T(1) AND X(k)) OR (T(2) AND Y(k)))) ; END GENERATE RegCntl ; tri_extern: trin PORT MAP ( Data, Extern, BusWires ) ; reg0: regn PORT MAP ( BusWires, Rin(0), Clock, R0 ) ; reg1: regn PORT MAP ( BusWires, Rin(1), Clock, R1 ) ; reg2: regn PORT MAP ( BusWires, Rin(2), Clock, R2 ) ; reg3: regn PORT MAP ( BusWires, Rin(3), Clock, R3 ) ; tri0: trin PORT MAP ( R0, Rout(0), BusWires ) ; tri1: trin PORT MAP ( R1, Rout(1), BusWires ) ; tri2: trin PORT MAP ( R2, Rout(2), BusWires ) ; tri3: trin PORT MAP ( R3, Rout(3), BusWires ) ; regA: regn PORT MAP ( BusWires, Ain, Clock, A ) ; alu: WITH AddSub SELECT Sum <= A + BusWires WHEN '0', A - BusWires WHEN OTHERS ; regG: regn PORT MAP ( Sum, Gin, Clock, G ) ; triG: trin PORT MAP ( G, Gout, BusWires ) ; END Behavior ; Figure 7.74c Code for the processor (con’t)

Figure 7.75a Alternative code for the processor … (ENTITY declaration not shown) ARCHITECTURE Behavior OF proc IS SIGNAL X, Y, Rin, Rout : STD_LOGIC_VECTOR(0 TO 3) ; SIGNAL Clear, High, AddSub : STD_LOGIC ; SIGNAL Extern, Ain, Gin, Gout, FRin : STD_LOGIC ; SIGNAL Count, Zero, T, I : STD_LOGIC_VECTOR(1 DOWNTO 0) ; SIGNAL R0, R1, R2, R3 : STD_LOGIC_VECTOR(7 DOWNTO 0) ; SIGNAL A, Sum, G : STD_LOGIC_VECTOR(7 DOWNTO 0) ; SIGNAL Func, FuncReg, Sel : STD_LOGIC_VECTOR(1 TO 6) ; BEGIN Zero <= "00" ; High <= '1' ; Clear <= Reset OR Done OR (NOT w AND NOT T(1) AND NOT T(0)) ; counter: upcount PORT MAP (Clear, Clock, Count ) ; T <= Count ; Func <= F & Rx & Ry ; FRin <= w AND NOT T(1) AND NOT T(0) ; functionreg: regn GENERIC MAP ( N => 6 ) PORT MAP ( Func, FRin, Clock, FuncReg ) ; I <= FuncReg(1 TO 2) ; decX: dec2to4 PORT MAP ( FuncReg(3 TO 4), High, X ) ; decY: dec2to4 PORT MAP ( FuncReg(5 TO 6), High, Y ) ; controlsignals: PROCESS ( T, I, X, Y ) BEGIN … con’t

Figure 7.75b Alternative code for the processor (con’t) Extern <= '0' ; Done <= '0' ; Ain <= '0' ; Gin <= '0' ; Gout <= '0' ; AddSub <= '0' ; Rin <= "0000" ; Rout <= "0000" ; CASE T IS WHEN "00" => -- no signals asserted in time step T0 WHEN "01" => -- define signals asserted in time step T1 CASE I IS WHEN "00" => -- Load Extern <= '1' ; Rin <= X ; Done <= '1' ; WHEN "01" => -- Move Rout <= Y ; Rin <= X ; Done <= '1' ; WHEN OTHERS => -- Add, Sub Rout <= X ; Ain <= '1' ; END CASE ; WHEN "10" => -- define signals asserted in time step T2 CASE I IS WHEN "10" => -- Add Rout <= Y ; Gin <= '1' ; WHEN "11" => -- Sub Rout <= Y ; AddSub <= '1' ; Gin <= '1' ; WHEN OTHERS =>-- Load, Move END CASE ; WHEN OTHERS => -- define signals asserted in time step T3 CASE I IS

Figure 7.75c Alternative code for the processor (con’t) WHEN "00" => -- Load WHEN "01" => -- Move WHEN OTHERS => -- Add, Sub Gout <= '1' ; Rin <= X ; Done <= '1' ; END CASE ; END PROCESS ; reg0: regn PORT MAP ( BusWires, Rin(0), Clock, R0 ) ; reg1: regn PORT MAP ( BusWires, Rin(1), Clock, R1 ) ; reg2: regn PORT MAP ( BusWires, Rin(2), Clock, R2 ) ; reg3: regn PORT MAP ( BusWires, Rin(3), Clock, R3 ) ; regA: regn PORT MAP ( BusWires, Ain, Clock, A ) ; alu: WITH AddSub SELECT Sum <= A + BusWires WHEN '0', A - BusWires WHEN OTHERS ; regG: regn PORT MAP ( Sum, Gin, Clock, G ) ; Sel <= Rout & Gout & Extern ; WITH Sel SELECT BusWires <= R0 WHEN "100000", R1 WHEN "010000", R2 WHEN "001000", R3 WHEN "000100", G WHEN "000010", Data WHEN OTHERS ; END Behavior ;

Figure 7.76 Timing simulation of the processor

Figure 7.77 A reaction-timer circuit (a) Clock divider 10-bit counter Clock c 0 c 1 c 9 V DD R L V V LED (b) LED circuit

Figure 7.77 A reaction-timer circuit D Q Q Two-digit BCD counter w 0 a w 1 b w 2 w 3 g w 0 a w 1 b w 2 w 3 g BCD 0 1 E Converter c 9 V DD R w V R L (c) Push-button switch, LED, and 7-segment displays Reset Clear 1 0 1

Figure 7.78a Code for a two-digit BCD counter LIBRARY ieee ; USE ieee.std_logic_1164.all ; USE ieee.std_logic_unsigned.all ; ENTITY BCDcount IS PORT (Clock : IN STD_LOGIC ; Clear, E : IN STD_LOGIC ; BCD1, BCD0: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) ) ; END BCDcount ; ARCHITECTURE Behavior OF BCDcount IS BEGIN PROCESS ( Clock ) BEGIN IF Clock'EVENT AND Clock = '1' THEN IF Clear = '1' THEN BCD1 <= "0000" ; BCD0 <= "0000" ; … con’t

Figure 7.78b Code for a two-digit BCD counter (con’t) ELSIF E = '1' THEN IF BCD0 = "1001" THEN BCD0 <= "0000" ; IF BCD1 = "1001" THEN BCD1 <= "0000"; ELSE BCD1 <= BCD1 + '1' ; END IF ; ELSE BCD0 <= BCD0 + '1' ; END IF ; END PROCESS; END Behavior ;

Figure 7.79a Code for the reaction timer LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY reaction IS PORT (c9, Reset : IN STD_LOGIC ; w, Pushn : IN STD_LOGIC ; LEDn : OUT STD_LOGIC ; Digit1, Digit0 : BUFFERSTD_LOGIC_VECTOR(1 TO 7) ) ; END reaction ; ARCHITECTURE Behavior OF reaction IS COMPONENT BCDcount PORT ( Clock : IN STD_LOGIC ; Clear, E : IN STD_LOGIC ; BCD1, BCD0: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) ) ; END COMPONENT ; COMPONENT seg7 PORT ( bcd : IN STD_LOGIC_VECTOR(3 DOWNTO 0) ; leds : OUT STD_LOGIC_VECTOR(1 TO 7) ) ; END COMPONENT ; SIGNAL LED : STD_LOGIC ; SIGNAL BCD1, BCD0 : STD_LOGIC_VECTOR(3 DOWNTO 0) ; … con’t

Figure 7.79b Code for the reaction timer (con’t) BEGIN flipflop: PROCESS BEGIN WAIT UNTIL c9'EVENT AND c9 = '1' ; IF Pushn = '0' THEN LED <= '0' ; ELSIF w = '1' THEN LED <= '1' ; END IF ; END PROCESS ; LEDn <= NOT LED ; counter: BCDcount PORT MAP ( c9, Reset, LED, BCD1, BCD0 ) ; seg1 : seg7 PORT MAP ( BCD1, Digit1 ) ; seg0 : seg7 PORT MAP ( BCD0, Digit0 ) ; END Behavior ;

Figure 7.80 Simulation of the reaction-timer circuit

Summary Latches / flip-flops Registers / counters VHDL Design examples