I N V E N T I V EI N V E N T I V E Can innovations in Test serve as a beacon of light in a dark economy? Sanjiv Taneja VP and GM, Encounter Test.

Slides:



Advertisements
Similar presentations
18 July 2001 Work In Progress – Not for Publication 2001 ITRS Test Chapter ITRS Test ITWG Mike Rodgers Don Edenfeld.
Advertisements

Z. Stamenković 1, M. Giles 2, and F. Russi 2 1 IHP GmbH, Frankfurt (Oder), GERMANY 2 Synopsys Inc., Mountain View, CA, USA 13th IEEE European Test Symposium,
© 2008 Cisco Systems, Inc. All rights reserved.Cisco Confidential 1 Bill Eklow October 26, D Test Issues.
An International Technology Roadmap for Semiconductors
0 © 2011 Silver Spring Networks. All rights reserved. Building the Smart Grid.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
Business Process Reengineering Raymond Yap Principal Consultant Hutex Management Consulting
CHALLENGES IN EMBEDDED MEMORY DESIGN AND TEST History and Trends In Embedded System Memory.
Accelerating Productization. Functional Metrology TM Challenges of Semiconductor Productization Leading IDM’s Solution Novel Solution -> In-product Functional.
The Future of Formal: Academic, IC, EDA, and Software Perspectives Ziyad Hanna VP of Research and Chief Architect Jasper Design Automation Ziyad Hanna.
Copyright 2001, Agrawal & BushnellDay-1 AM Lecture 11 Design for Testability Theory and Practice January 15 – 17, 2005 Vishwani D. Agrawal James J. Danaher.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 11 Lecture 1 Introduction n VLSI realization process n Verification and test n Ideal and real tests.
T h e Y i e l d M a n a g e m e n t C o m p a n y Service Business Rich Ruiz Director, Global Provisioning GSS Service Supply Chain Mgmt.
IC-SOC STEAC: An SOC Test Integration Platform Cheng-Wen Wu.
Copyright 2001, Agrawal & BushnellDay-1 AM-1 Lecture 11 Testing Analog & Digital Products Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical.
©2015 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
BIST vs. ATPG.
DFT Technologies for High- Quality Low-Cost Manufacturing Tests Yuval Snir JTAG 2006 Yuval Snir JTAG 2006.
Copyright © 2009 Accenture All Rights Reserved. 1 Copyright © 2008 Accenture All Rights Reserved. Client background This client is one of the world's largest.
1. 2 SIX SIGMA "Delivering Tomorrow's Performance Today" AIR CDRE ABDUL WAHAB.
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Building a Mutually Rewarding Partnership [Your Company Name]’s Commitment to Delivering Unequaled Value to [Client Name] (Replace with client logo)
Maximize Opportunity. Minimize Risk. Banking in the Flat World A View Point.
1 SIX SIGMA "Delivering Tomorrow's Performance Today" AIR CDRE ABDUL WAHAB.
Thriving in a Hybrid World Dean J. Marsh Vice President, Client Success IBM Analytic Solutions.
IMA CIM Overview. IMA Mission “Provide a knowledge-sharing platform for business professionals where proven Internet.
© 2005 Virage Logic Corporation – COMPANY CONFIDENTIAL Slide 1 EDAC Panel: Shaking Things Up in the Electronic Design Business Dr. Alex Shubat, Co-Founder,
Entrepreneurship Jun Li July 28, 2010 Peking University.
Confidential and proprietary information of Ingram Micro Inc. — Do not distribute or duplicate without Ingram Micro's express written permission _.
1 There are a number of organization designs, including many combinations or hybrids of models. Seven designs are shown below: Process Centered Front End.
1. 2 IT innovations in specialized areas where competitors will have difficulty copying Excellence in design of processes and activities and how they.
Fast & Furious: Taming the Challenges of Advanced-Node Design Anirudh Devgan, Senior Vice President, Digital & Signoff Group.
BT Transformation Working with the CWU. Defend Traditional Calls decline - 5 main factors Dial IP - Market declines, driven by Broadband Price - Market.
ECO Methodology for Very High Frequency Microprocessor Sumit Goswami, Srivatsa Srinath, Anoop V, Ravi Sekhar Intel Technology, Bangalore, India Introduction.
ITRS Factory Integration Difficult Challenges Last Updated: 30 May 2003.
© 2008 Cisco Systems, Inc. All rights reserved.Cisco ConfidentialPaper #15 1 Hongshin Jun, Bill Eklow 9/15/2010 BTW10, Fort Collins, CO PCC - Programmable.
Page 1 Designing for Health; A Methodology for Integrated Diagnostics/Prognostics Raymond Beshears Raytheon 2501 W. University McKinney, TX
THE APPAREL LOGISTICS GROUP, LTD OPERATIONS EXCELLENCE October 2014.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
PRESENTED BY, SARANYA , GAYATHRI, II ECE-B.
Robust Low Power VLSI ECE 7502 S2015 Minimum Supply Voltage and Very- Low-Voltage Testing ECE 7502 Class Discussion Elena Weinberg Thursday, April 16,
Test and Test Equipment Joshua Lottich CMPE /23/05.
1. CAD Challenges for Leading-Edge Multimedia Designs Ira Chayut, Verification Architect (opinions are my own and do not necessarily represent the opinion.
Business Trends and Design Methodologies for IP Reuse Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
Smart Grid Energy Generation Renewable Energy Distributed Generation Transmission & Distribution Load Management Demand Response Electrical Vehicles Charging.
By Praveen Venkataramani
L i a b l eh kC o m p u t i n gL a b o r a t o r y Test Economics for Homogeneous Manycore Systems Lin Huang† and Qiang Xu†‡ †CUhk REliable computing laboratory.
Testability of Analogue Macrocells Embedded in System-on-Chip Workshop on the Testing of High Resolution Mixed Signal Interfaces Held in conjunction with.
Chapter © 2012 Pearson Education, Inc. Publishing as Prentice Hall.
- 1 - ©2009 Jasper Design Automation ©2009 Jasper Design Automation JasperGold for Targeted ROI JasperGold solutions portfolio delivers competitive.
ORCALE CORPORATION:-Company profile Oracle Corporation was founded in the year 1977 and is the world’s largest s/w company and the leading supplier for.
Chapter 16 Managing costs and quality
Nanometer Technology © Copyright 2002, Fairview Ridge Partners, LLC All Rights Reserved Nanometer Technology AKI Expert Session.
The Business Case for Executive Assessment : Why Assessment in Challenging Times Can Enhance Productivity and Be a Talent “Game Changer” Linda Sharkey,
Chapter © 2012 Pearson Education, Inc. Publishing as Prentice Hall.
Interaction Private Sector Working Group Discussion with USAID Global Partnerships January 30, 2014.
Michael Saucier - OSIsoft Cliff Reeves - Microsoft Your Portal to Performance An Introduction to the RtPM Platform Copyright c 2004 OSIsoft Inc. All rights.
Value Chain Analysis Microsoft Corporation Cornel Daniel Gherman GB570 Managing the Value Chain Dr. John Craddock.
Microprocessor Design Process
©2015 Cengage Learning. All Rights Reserved. May not be scanned, copied or duplicated, or posted to a publicly accessible website, in whole or in part.
References: Supply Chain Saves the World. Boston, MA: AMR Research (2006); Designing and Managing the Supply Chain – Concepts, Strategies and Case Studies;
April 15, 2013 Atul Kwatra Principal Engineer Intel Corporation Hardware/Software Co-design using SystemC/TLM – Challenges & Opportunities ISCUG ’13.
© 2016 Global Market Insights, Inc. USA. All Rights Reserved IoT in Manufacturing Market grow at 20% CAGR from 2017 to 2024: Global.
© 2016 Global Market Insights, Inc. USA. All Rights Reserved Fuel Cell Market size worth $25.5bn by 2024 Molded Interconnect Devices.
How Can the Telecoms Industry Lead the Drive to a Greener Society
XILINX CPLDs The Total ISP Solution
Lecture 26 Logic BIST Architectures
I4.0 in Action The importance of people and culture in the Industry 4.0 transformation journey Industry 4.0 Industry 3.0 Industry 2.0 Industry 1.0 Cyber.
Presentation transcript:

I N V E N T I V EI N V E N T I V E Can innovations in Test serve as a beacon of light in a dark economy? Sanjiv Taneja VP and GM, Encounter Test

Outline Why it matters to our industry? Where it comes from? Innovation engine: what enables/sustains it? Innovations in Test –Key enabling factors and examples Global Talent Ecosystem collaboration Organization/Innovation Processes Holistic, integrated perspective Future innovation opportunities –Need for innovative solutions Summary –Innovations positively impact profitability and productivity

Industry design costs Source: IBS SoC design costs rising dramatically Costs of delay unacceptable 3 © 2009 Cadence Design Systems, Inc. All rights reserved.

Growing cost of power, packaging, and test 4 © 2009 Cadence Design Systems, Inc. All rights reserved. “The cost of test can be as much as 40 to 50% of the materials, labor and overhead (MLO) costs for a given device.” Qualcomm and Pintail Technologies 4-10X incremental costs of packaging for increasing levels of power! Source: Japanese Semiconductor Vendor

Growing complexity driving test costs Source IBS nm 90nm65nm45nm32nm22nm Feature dimension Percentage of design cost (%) Growing cost of manufacturing test as percentage of design … required to control cost and sustain growth ! …

Where does innovation come from? Role of large corporations and universities becomes even more critical Universities Established Companies/ Research labs Start-ups VC activity down from $12B in 2Q08 to $5.8B in 1Q09!

Innovation Engine Key enabling factors Ecosystem Collaboration Process & Culture Global Talent Holistic, integrated perspective

Global Talent Open Innovation Global Collaboratories Leverage talent across the globe

Innovation Engine Key enabling factors Ecosystem Collaboration Process & Culture Global Talent Holistic, integrated perspective

Process and culture Attract and retain best minds/talent Manage with rigor and discipline Demonstrate tolerance for risk and failure Reward innovation Technology Research with tight linkage to Products/Solutions

Innovation Engine Key enabling factors Ecosystem Collaboration Process & Culture Global Talent Holistic, integrated perspective

Ecosystem collaboration Power Forward Initiative leads to innovation solutions Foundry Leading Customers IP Vendor EDA

Innovative Solution for Low Power Test Test the Low Power Design, Reduce Power During Test Power Aware DFT and Modeling PD1 PD2 PTAM Reduce Power during test Test Pattern Power Validation Top PD1 Mem PD4 PD2 PMU Core PD3 SR Flows and Methodologies

Power-Aware ATPG Reduces Test-mode Power Example power reduction during scan and capture! Switching results based on large design (>70Million gates) Baseline represents traditional ATPG results without Low Power ATPG Max Scan switching was reduced by 73% –36.41% to 9.62% Max Capture Switching was reduced by 35% –33.43% to 21.57% Scan Switching Power Capture Switching Power 73% Reduction! 35% Reduction!

Customer Collaboration “Hitachi has collaborated with Cadence to deliver an innovative next-generation solution that is driven by our goals of meeting the complexity of advanced, nanometer designs, while achieving significant reduction in test cost and higher test quality. The collaboration has delivered an unparalleled 1,100x compression rate, which not only meets our own challenging manufacturing demands, but also the quality demands of customers in markets where reliability is mission-critical.” Dr. Nobuo Tamba, General Manager of Design & Development Operation, Micro Device Division, Hitachi, Ltd. 1100x test compression -- four years of industry roadmap!

University Collaboration Pattern fault model for gate-exhaustive test “Stanford CRC test experiments show that gate exhaustive test sets are higher quality and more efficient … than N-detect test sets in terms of the ability to detect defective chips and test length” Edward J. McCluskey, CRC Departments of Electrical Engineering and Computer Science, Stanford University, ITC © 2005 IEEE Gate exhaustive test set applies all possible input combinations to each gate and observes the gate response at an observation point “Z” A B C H1 H2 H3 G1 G2 J1 J2 G H J Z Test SetTest LengthSSF Cov. (%)GEC (%)Test Escapes 2-detect detect1, detect3, detect5, Gate exhaustive 1, Stanford Comparison of GEC vs. N-Detect ~4X Lower Pattern Requirement with Higher Coverage!

Innovation Engine Key enabling factors Ecosystem Collaboration Process & Culture Global Talent Holistic, integrated perspective

Formal Verification Physical Implementation/ Physical Verification ATPG (Encounter True Time) Concurrent Synthesis Logic + DFT (RC) Front End Back End Yield Diagnostics (Encounter Diagnostics) Silicon Verification RTL Foundry Logical Verification Physically-aware Timing-aware Power-Aware Silicon Test-Aware! Holistic, end-to-end perspective Predictable solution enabled by integration © 2009, Cadence Design Systems, Inc. All rights reserved worldwide. 18

Integrated solution example Minimizing scan power while meeting timing, area, functional power Target regs. feeding high- power gates and large logic cones Avoid critical nets Helps reduce scan power in shift-mode Scan Chain ~SE Concurrent optimization enabled by integration

3D Test Challenges Access at wafer (DFT architectures) Wafer Test Access (applied to single die/package) –Embedded Scan –JTAG (1149.1/6) –PTAM (Power Test Access Management) –OPCG (On Product Clock Generator) –MBIST –LBIST –Compression –Proc Monitors Embedded test access structures at logic synthesis –RTL and Gate level insertion –Analysis and optimization must be achieved during logic optimization Core Logic IO Pad/Ring

Future innovation opportunities Analog/High Speed IO Test Testing of 3-D stacking and 3-D packaging Parallel Algorithms to leverage multi-core compute platforms

Cost Quality Power Predictability Profit Ease-of-Use Productivity Product Integration Summary Test Innovations enhance profitability and productivity Industry must continue to deliver innovative products