Electrical and Computer Engineering 1 CPE 426/526 Armstrong and Gray- ASICs and the ASIC Design Process Dr. Rhonda Kay Gaede UAH.

Slides:



Advertisements
Similar presentations
Lecture 15 Finite State Machine Implementation
Advertisements

Logic Synthesis – 3 Optimization Ahmed Hemani Sources: Synopsys Documentation.
Programmable Logic Devices
History TTL-logic PAL (Programmable Array Logic)
Kazi Spring 2008CSCI 6601 CSCI-660 Introduction to VLSI Design Khurram Kazi.
6/27/20061 Sequence Detectors Lecture Notes – Lab 5 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
6/12/20151 Sequence Detectors Lecture Notes – Lab 4 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
FPGAs and VHDL Lecture L12.1. FPGAs and VHDL Field Programmable Gate Arrays (FPGAs) VHDL –2 x 1 MUX –4 x 1 MUX –An Adder –Binary-to-BCD Converter –A Register.
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE VLSI System Design Lecture 12 - Timing, Project.
Evolution of implementation technologies
Programmable logic and FPGA
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
FPGAs and VHDL Lecture L13.1 Sections 13.1 – 13.3.
King Fahd University of Petroleum and Minerals Computer Engineering Department COE 561 Digital Systems Design and Synthesis (Course Activity) Synthesis.
February 4, 2002 John Wawrzynek
Introduction to FPGA’s FPGA (Field Programmable Gate Array) –ASIC chips provide the highest performance, but can only perform the function they were designed.
03/30/031 ECE 551: Digital System Design & Synthesis Lecture Set 9 9.1: Constraints and Timing 9.2: Optimization (In separate file)
Global Timing Constraints FPGA Design Workshop. Objectives  Apply timing constraints to a simple synchronous design  Specify global timing constraints.
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 7 Programmable.
Kazi ECE 6811 ECE 681 VLSI Design Automation Khurram Kazi* Lecture 10 Thanks to Automation press THE button outcomes the Chip !!! Reality or Myth (*Mostly.
ASIC Design Flow – An Overview Ing. Pullini Antonio
System Arch 2008 (Fire Tom Wada) /10/9 Field Programmable Gate Array.
J. Christiansen, CERN - EP/MIC
1 Sequential Logic Lecture #7. 모바일컴퓨팅특강 2 강의순서 Latch FlipFlop Shift Register Counter.
King Fahd University of Petroleum and Minerals Computer Engineering Department COE 561 Digital Systems Design and Synthesis (Course Activity) Synthesis.
Reconfigurable Computing - Type conversions and the standard libraries John Morris Chung-Ang University The University of Auckland ‘Iolanthe’ at 13 knots.
Introduction to FPGA Created & Presented By Ali Masoudi For Advanced Digital Communication Lab (ADC-Lab) At Isfahan University Of technology (IUT) Department.
Field Programmable Gate Arrays (FPGAs) An Enabling Technology.
Basic Sequential Components CT101 – Computing Systems Organization.
ENG241 Digital Design Week #8 Registers and Counters.
CPE 626 Advanced VLSI Design Lecture 6: VHDL Synthesis Aleksandar Milenkovic
George Mason University ECE 448 FPGA and ASIC Design with VHDL FPGA Design Flow ECE 448 Lecture 7.
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
Introduction to FPGA Tools
Feb. 26, 2001Systems Architecture I1 Systems Architecture I (CS ) Lecture 12: State Elements, Registers, and Memory * Jeremy R. Johnson Mon. Feb.
George Mason University ECE 545 – Introduction to VHDL Logic Synthesis with Synopsys ECE 545 Lecture 11.
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
George Mason University ECE 448 – FPGA and ASIC Design with VHDL VHDL Coding for Synthesis ECE 448 Lecture 12.
Delivered by.. Love Jain p08ec907. Design Styles  Full-custom  Cell-based  Gate array  Programmable logic Field programmable gate array (FPGA)
-1- Soft Core Viterbi Decoder EECS 290A Project Dave Chinnery, Rhett Davis, Chris Taylor, Ning Zhang.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
Sequential Logic Design
Registers and Counters
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code.
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
ELEN 468 Advanced Logic Design
VHDL 5 FINITE STATE MACHINES (FSM)
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
We will be studying the architecture of XC3000.
ECE 434 Advanced Digital System L08
The Xilinx Virtex Series FPGA
Timing Analysis 11/21/2018.
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
VHDL VHSIC Hardware Description Language VHSIC
RTL Style در RTL مدار ترتيبي به دو بخش (تركيبي و عناصر حافظه) تقسيم مي شود. مي توان براي هر بخش يك پروسس نوشت يا براي هر دو فقط يك پروسس نوشت. مرتضي صاحب.
FPGA Tools Course Answers
VHDL Introduction.
HIGH LEVEL SYNTHESIS.
Founded in Silicon Valley in 1984
Data Flow Description of Combinational-Circuit Building Blocks
The Xilinx Virtex Series FPGA
Data Flow Description of Combinational-Circuit Building Blocks
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code.
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL code ECE 448 – FPGA and ASIC Design.
ECE 448 Lecture 6 Finite State Machines State Diagrams vs. Algorithmic State Machine (ASM) Charts.
Sequntial-Circuit Building Blocks
Presentation transcript:

Electrical and Computer Engineering 1 CPE 426/526 Armstrong and Gray- ASICs and the ASIC Design Process Dr. Rhonda Kay Gaede UAH

CPE 426/526 Chapter 9 Electrical and Computer Engineering Page 2 of What is an ASIC? An ASIC is an ____________________________________. There is a range of flexibility involved in IC design Full Custom _________________ Field Programmable

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 3 of ASIC Circuit Technology

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 4 of Types of ASICs - PLDs

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 5 of Types of ASICs - Field Programmable Gate Arrays

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 6 of Types of ASICs - Field Programmable Gate Arrays CLBs

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 7 of Types of ASICs - FPGA Interconnect Switch Technology Reprogrammable _______, _________, _________ Programmable Once ______________

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 8 of Types of ASICs - FPGA Interconnect Structures

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 9 of Types of ASICs - More on FPGA Interconnect Routing between CLBs can encounter many delays leading to: Xilinx - hierarchy of wire types in wire tracks Actel - three layers of metal using entire chip surface FPGAs contain special logic for Input/Output Blocks Bussed Tristate Systems Decoders Oscillators Fast Adders RAM

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 10 of Types of ASICs - Gate Arrays Sea of gates with mask programmable interconnections Disadvantage - All transistors are created equal

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 11 of Types of ASICs - Standard Cell

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 12 of Types of ASICs - Standard Cell Layout All cells are the same ________ but different _______. So, they are put together in _______ with _________ for ________ left between the ______.

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 13 of Types of ASICs - Standard Cells Mixed with Block Compiled Parts Standard cells work well for ____________ but are inefficient for modules with regular structure such as ___________, ____________, ___________, __________, _________ and ________. These blocks may be integrated with a standard cell portion of a chip. These fixed blocks may be IP.

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 14 of Types of ASICs - Full Custom Chips The designer has complete control over the design within the design rules. The main use is analog and mixed-signal designs.

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 15 of Types of ASICs - Relative Cost of ASICs and FPGAs The design approach used depends on __________ For cost, we must consider _______ costs and _______ costs. ________ costs are incurred independent of volume, ________ costs are incurred per item. Total product cost = fixed product cost + variable product cost * products sold FixedVariable FPGA$21,800$39 MPGA$86,000$10 CBIC$146,000$8

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 16 of Types of ASICs - Where are the Break-Even Points? FixedVariable FPGA$21,800$39 MPGA$86,000$10 CBIC$146,000$8

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 17 of Types of ASICs - Time to Market Impact

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 18 of The ASIC Design Process - Overview

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 19 of The ASIC Design Process - Standard Cell ASIC Synthesis This is what we were doing in Synopsys Steps Analyze Elaborate Compile Report Save

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 20 of The ASIC Design Process - Synthesis Tool Use Strategies Experiment Use scripts to control your experimentation Example entity SM_COUNT is port(CLK,CON,RESET: in std_logic; COUNT: inout std_logic_vector(3 downto 0)); end SM_COUNT; architecture ALG of SM_COUNT is begin process(CLK,CON,RESET) begin if RESET = '1' then COUNT <= "0000"; elsif CLK'EVENT and CLK='1' then if CON = '1' then COUNT <= INC(COUNT); end if; end process; end ALG; analyze -format vhdl sm_count.vhd elaborate SM_COUNT -architecture ALG create_clock -period 10 -waveform {0 5} CLK compile write -format vhdl -output SM_COUNT_1.VHD write –format ddc -output SM_COUNT_1.ddc report_timing > SM_COUNT_1.rep report_area >> SM_COUNT_1.rep

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 21 of The ASIC Design Process - Timing Report Output from Synthesis ******************************************************************** Report : timing -path full -delay max -max_paths 1 Design : SM_COUNT Version: Z SP3 Date : Mon Oct 22 11:24: ******************************************************************** Operating Conditions: Wire Load Model Mode: top Startpoint: COUNT_reg[1] (rising edge-triggered flip-flop clocked by CLK) Endpoint: COUNT_reg[3] (rising edge-triggered flip-flop clocked by CLK) Path Group: CLK Path Type: max Point Incr Path clock CLK (rise edge) clock network delay (ideal) COUNT_reg[1]/CP (FD2) r COUNT_reg[1]/Q (FD2) U9/Z (IV) f U8/Z (NR2) r U6/Z (ND2) f U5/Z (EN) f COUNT_reg[3]/D (FD2) f data arrival time 4.59 clock CLK (rise edge) clock network delay (ideal) COUNT_reg[3]/CP (FD2) r library setup time data required time data required time 9.15 data arrival time slack (MET) 4. 56

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 22 of The ASIC Design Process - Area Report Output from Synthesis ***************************************************************** Report : area Design : SM_COUNT Version: Z SP3 Date : Mon Oct 22 11:24: ***************************************************************** Library(s) Used: lsi_10k (File: /apps/synopsys/Z SP3/libraries/syn/lsi_10k.db) Number of ports: 7 Number of nets: 16 Number of cells: 13 Number of references: 6 Combinational area: Noncombinational area: Net Interconnect area: undefined (No wire load specified) Total cell area: Total area: undefined

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 23 of The ASIC Design Process - Minimum Delay Circuits Ideal Delay vs. Area Curve Realistic Delay vs. Area Curve

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 24 of The ASIC Design Process - Setting Timing Constraints Once critical paths are identified, they can be used as a target for synthesis performance. –set_max_delay 3 -from “COUNT_reg[1]/CP” -to “COUNT_reg[3]/D” To exert maximum effort to minimize the delay along each path –set_max_delay 0 -to all_outputs() + all_registers(- data_pins)

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 25 of The ASIC Design Process - Setting Timing Constraints analyze -format vhdl sm_count.vhd elaborate SM_COUNT -architecture ALG create_clock -period 10 -waveform {0 5} CLK compile report_timing > SM_COUNT_1.rep report_area >> SM_COUNT_1.rep set_max_delay 3 -from "COUNT_reg[1]/CP" -to "COUNT_reg[3]/D" compile report_timing >> SM_COUNT_1.rep report_area >> SM_COUNT_1.rep set_max_delay 3 -from "COUNT_reg[1]/CP" -to "COUNT_reg[3]/D" compile report_timing >> SM_COUNT_1.rep report_area >> SM_COUNT_1.rep write -format vhdl -output SM_COUNT_1.VHD write –format ddc -output SM_COUNT_1.ddc

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 26 of The ASIC Design Process - Setting Timing Constraints analyze -format vhdl sm_count.vhd elaborate SM_COUNT -architecture ALG create_clock -period 10 -waveform {0 5} CLK set_max_delay 0 -to all_outputs() + all_registers(-data_pins) compile report_timing > SM_COUNT_2.rep report_area >> SM_COUNT_2.rep set_max_delay 3 -from "COUNT_reg[0]/CP" -to "COUNT_reg[3]/D" compile report_timing >> SM_COUNT_2.rep report_area >> SM_COUNT_2.rep set_max_delay 3 -from "COUNT_reg[0]/CP" -to "COUNT_reg[3]/D" compile report_timing >> SM_COUNT_2.rep report_area >> SM_COUNT_2.rep write -format vhdl -output SM_COUNT_2.VHD write –format ddc -output SM_COUNT_2.ddc

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 27 of The ASIC Design Process - Optimally Timed Synchronous Circuits Possible Paths Inputs to RegistersT in T in < T clk /2 Registers to RegistersT int T int < T clk Registers to OutputsT out T out < T clk /2

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 28 of The ASIC Design Process - Optimal Timing for a Registered Adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; entity ADD is port(CLK,RESET: in std_logic; A: in signed(1 downto 0); C: buffer signed(1 downto 0)); end ADD; architecture ALG of ADD is begin process(CLK) begin if RESET = '1' then C <= "00"; elsif CLK'EVENT and CLK = '1' then C <= A + C; end if; end process; end ALG;

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 29 of The ASIC Design Process - Optimal Timing First Attempt analyze -format vhdl add.vhd elaborate ADD -architecture ALG create_clock -period 10 -waveform {0 5} CLK compile -map_effort high report_timing >> add_init.rpt report_timing -from "A[1]" -to "C_reg[1]/D“ >> add_init.rpt

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 30 of The ASIC Design Process - Optimal Timing First Attempt

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 31 of The ASIC Design Process - Optimal Timing First Attempt **************************************** Report : timing -path full -delay max -max_paths 1 Design : ADD Version: Z SP3 Date : Mon Apr 12 16:01: **************************************** Startpoint: C_reg[1] (rising edge-triggered flip-flop clocked by CLK) Endpoint: C_reg[1] (rising edge-triggered flip-flop clocked by CLK)\ Point Incr Path clock CLK (rise edge) clock network delay (ideal) C_reg[1]/CP (FD2) r C_reg[1]/Q (FD2) f U10/Z (EN) f U8/Z (EO) f C_reg[1]/D (FD2) f data arrival time 3.67 clock CLK (rise edge) clock network delay (ideal) C_reg[1]/CP (FD2) r library setup time data required time data required time 9.15 data arrival time slack (MET) 5.48

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 32 of The ASIC Design Process - Optimal Timing First Attempt **************************************** Report : timing -path full -delay max -max_paths 1 Design : ADD Version: Z SP3 Date : Mon Apr 12 16:01: **************************************** Startpoint: A[1] (input port) Endpoint: C_reg[1] (rising edge-triggered flip-flop clocked by CLK) Point Incr Path clock (input port clock) (rise edge) input external delay f A[1] (in) f U10/Z (EN) f U8/Z (EO) f C_reg[1]/D (FD2) f data arrival time 2.25 clock CLK (rise edge) clock network delay (ideal) C_reg[1]/CP (FD2) r library setup time data required time data required time 9.15 data arrival time slack (MET) 6.90

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 33 of The ASIC Design Process - Optimal Timing Second Attempt analyze -format vhdl add.vhd elaborate ADD -architecture ALG create_clock -period 10 -waveform {0 5} CLK compile set_max_delay 3 -from "C_reg[0]/CP" -to "C_reg[0]/D" compile report_timing >> add_2.rpt report_timing -from "A[1]" -to "C_reg[1]/D“ >> add_2.rpt

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 34 of The ASIC Design Process - Optimal Timing Second Attempt

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 35 of The ASIC Design Process - Optimal Timing Second Attempt **************************************** Report : timing -path full -delay max -max_paths 1 Design : ADD Version: Z SP3 Date : Mon Apr 12 16:08: **************************************** Startpoint: C_reg[0] (rising edge-triggered flip-flop clocked by CLK) Endpoint: C_reg[0] (rising edge-triggered flip-flop clocked by CLK) Point Incr Path C_reg[0]/CP (FD2P) r C_reg[0]/Q (FD2P) r U17/Z (EOP) f C_reg[0]/D (FD2P) f data arrival time 2.50 max_delay library setup time data required time data required time 2.15 data arrival time slack (VIOLATED) -0.35

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 36 of The ASIC Design Process - Optimal Timing Second Attempt **************************************** Report : timing -path full -delay max -max_paths 1 Design : ADD Version: Z SP3 Date : Mon Apr 12 16:08: **************************************** Startpoint: A[1] (input port) Endpoint: C_reg[1] (rising edge-triggered flip-flop clocked by CLK) Point Incr Path clock (input port clock) (rise edge) input external delay f A[1] (in) f U15/Z (EO) f U13/Z (EO) f C_reg[1]/D (FD2) f data arrival time 2.25 clock CLK (rise edge) clock network delay (ideal) C_reg[1]/CP (FD2) r library setup time data required time data required time 9.15 data arrival time slack (MET) 6.90

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 37 of The ASIC Design Process - Optimal Timing Third Attempt analyze -format vhdl add.vhd elaborate ADD -architecture ALG create_clock -period 10 -waveform {0 5} CLK compile set_max_delay 2.5 -from "C_reg[0]/CP" -to "C[0]" compile report_timing >> add_3.rpt report_timing -from "A[0]" -to "C_reg[0]/D“ >> add_3.rpt

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 38 of The ASIC Design Process - Optimal Timing Third Attempt

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 39 of The ASIC Design Process - Optimal Timing Third Attempt Report : timing -path full -delay max -max_paths 1 Design : ADD Version: Z SP3 Date : Mon Apr 12 16:06: **************************************** Startpoint: C_reg[1] (rising edge-triggered flip-flop clocked by CLK) Endpoint: C_reg[1] (rising edge-triggered flip-flop clocked by CLK) Point Incr Path clock CLK (rise edge) clock network delay (ideal) C_reg[1]/CP (FD2) r C_reg[1]/QN (FD2) f U15/Z (EO) f U13/Z (EO) f C_reg[1]/D (FD2) f data arrival time 3.97 clock CLK (rise edge) clock network delay (ideal) C_reg[1]/CP (FD2) r library setup time data required time data required time 9.15 data arrival time slack (MET) 5.18

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 40 of The ASIC Design Process - Optimal Timing Third Attempt Startpoint: C_reg[0] (rising edge-triggered flip-flop clocked by CLK) Endpoint: C[0] (output port) Path Group: default Path Type: max Point Incr Path C_reg[0]/CP (FD2) r C_reg[0]/Q (FD2) r C[0] (out) r data arrival time 1.48 max_delay output external delay data required time data required time 2.50 data arrival time slack (MET) 1.02

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 41 of The ASIC Design Process - Optimal Timing Third Attempt **************************************** Report : timing -path full -delay max -max_paths 1 Design : ADD Version: Z SP3 Date : Mon Apr 12 16:06: **************************************** Startpoint: A[0] (input port) Endpoint: C_reg[0] (rising edge-triggered flip-flop clocked by CLK) Point Incr Path clock (input port clock) (rise edge) input external delay f A[0] (in) f U16/Z (EO) f C_reg[0]/D (FD2) f data arrival time 1.13 clock CLK (rise edge) clock network delay (ideal) C_reg[0]/CP (FD2) r library setup time data required time data required time 9.15 data arrival time slack (MET) 8.02

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 42 of The ASIC Design Process - Optimal Timing with I/O Delays analyze -format vhdl add.vhd elaborate ADD -architecture ALG create_clock -period 5 -waveform {0 2.5} CLK set_input_delay -clock CLK -max -rise 2.5 "A" set_input_delay -clock CLK -max -fall 2.5 "A" set_output_delay -clock CLK -max -rise 2.5 "C" set_output_delay -clock CLK -max -fall 2.5 "C" compile report_timing -path end -delay max -max_paths 40 -nworst 5 > add_otsc.rpt

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 43 of The ASIC Design Process - I/O Delays Schematic

UAHCPE 426/526 Chapter 9 Electrical and Computer Engineering Page 44 of The ASIC Design Process - I/O Delays Timing Report **************************************** Report : timing -path end -delay max -nworst 5 -max_paths 40 Design : ADD Version: Z SP3 Date : Mon Apr 12 16:09: **************************************** Endpoint Path Delay Path Required Slack C_reg[1]/D (FD2) 4.68 f C_reg[1]/D (FD2) 4.68 f C_reg[1]/D (FD2) 4.50 r C_reg[1]/D (FD2) 4.50 f C_reg[1]/D (FD2) 4.50 f C_reg[0]/D (FD2) 3.63 f C_reg[0]/D (FD2) 3.63 f C_reg[0]/D (FD2) 3.44 r C_reg[0]/D (FD2) 3.44 r C[0] (out) 1.63 r C[0] (out) 1.53 f C[1] (out) 1.42 f C[1] (out) 1.34 r C_reg[0]/D (FD2) 2.75 f