Hankuk University of Foreign Studies Radio Communication Systems Lab. 1 Digital IC design (8)
Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100 2
Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100 EasySoC-100 FPCA JTAG connect to PC Create project as below
Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100 Create VHDL file
Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity exam is port(rstb: in std_logic;key: in std_logic_vector(7 downto 0); led_out: out std_logic_vector(7 downto 0)); end exam; architecture design1 of exam is signal clk_div:std_logic; begin process(key, rstb) begin if(rstb = '0')then led_out <= " "; else case(key)is when " "=>led_out <= " "; when " "=>led_out <= " "; when " "=>led_out <= " "; when " "=>led_out <= " "; when " "=>led_out <= “ "; when " "=>led_out <= “ "; when " "=>led_out <= “ "; when " "=>led_out <= “ "; when others =>led_out <= " "; end case; end if; end process; end design1; 5
Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100 After compilation, we need to set pin in EasySoC-100
Hankuk University of Foreign Studies Radio Communication Systems Lab. 7 Practice with EasySoC-100 Pin assign
Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100 After setting pins, start compilation again Click programmer button
Hankuk University of Foreign Studies Radio Communication Systems Lab. 9 Practice with EasySoC Add Hardware 2. ByteBlasterMV or ByteBlaster II 3. Choose ByteBlasterII
Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100 Double click and select.sof file for programming FPGA After click Start, wait 100% progress
Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC Program/Configure check 2. Start 3. Download
Hankuk University of Foreign Studies Radio Communication Systems Lab. Report 12
Hankuk University of Foreign Studies Radio Communication Systems Lab. 13 Download to FPGA (3) 1. Auto Detect 2. Double click 3. led_controller.sof
Hankuk University of Foreign Studies Radio Communication Systems Lab. 14 Led control by Keypad (2) Pin number of LED & Keypad
Hankuk University of Foreign Studies Radio Communication Systems Lab. 15 Led control by Keypad (3) Pin assign