Hankuk University of Foreign Studies Radio Communication Systems Lab. 1 Digital IC design (8)

Slides:



Advertisements
Similar presentations
Digital Logic with VHDL EE 230 Digital Systems Fall 2006 (10/17/2006)
Advertisements

1 Lecture 13 VHDL 3/16/09. 2 VHDL VHDL is a hardware description language. The behavior of a digital system can be described (specified) by writing a.
Quad 2-to-1 and Quad 4-to-1 Multiplexers Discussion D2.4 Example 7.
History TTL-logic PAL (Programmable Array Logic)
Arbitrary Waveform Discussion 5.5 Example 34.
Decoders Discussion D9.5 Example 25. Decoders 3-to-8 Decoder decoder38.vhd library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_unsigned.all;
1 VLSI DESIGN USING VHDL Part II A workshop by Dr. Junaid Ahmed Zubairi.
Shifters Discussion D7.1 Example Bit Shifter.
Top-level VHDL Designs
Generic Multiplexers: Parameters Discussion D2.5 Example 8.
2-to-1 Multiplexer: if Statement Discussion D2.1 Example 4.
Decoders and Encoders Lecture L4.2. Decoders and Encoders Binary Decoders Binary Encoders Priority Encoders.
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
Digilent Spartan 3 Board Lecture L2.2
FPGAs and VHDL Lecture L12.1. FPGAs and VHDL Field Programmable Gate Arrays (FPGAs) VHDL –2 x 1 MUX –4 x 1 MUX –An Adder –Binary-to-BCD Converter –A Register.
Structural VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T3: ALU Design.
Counters Discussion D5.3 Example 33. Counters 3-Bit, Divide-by-8 Counter 3-Bit Behavioral Counter in Verilog Modulo-5 Counter An N-Bit Counter.
Electrical and Computer Engineering How to Program with JTAG ECE 353 – Computer Systems lab I Mike O’Malley Tom Stack September 20 th 2005.
Introduction to VHDL Multiplexers. Introduction to VHDL VHDL is an acronym for VHSIC (Very High Speed Integrated Circuit) Hardware Description Language.
4-to-1 Multiplexer: case Statement Discussion D2.3 Example 6.
DE1 FPGA board and Quartus
Development System using Altium Designer Supervisor : Ina Rivkin Performed by: Fared Ghanayim Jihad Zahdeh Technion – Israel Institute of Technology Department.
FPGAs and VHDL Lecture L13.1 Sections 13.1 – 13.3.
Digilent Spartan 3 Board Discussion D3.3
7-Segment Displays Digilent Spartan 3 Board Discussion DS-4.2.
CSE140L – Lab4 Overall picture of Lab4 Tutorial on Bus & Memory Tutorial on Truth table.
Introduction to VHDL Multiplexers Discussion D1.1.
Binary-to-BCD Converter
Binary-to-BCD Converter
4-Bit Binary-to-BCD Converter: case Statement
ECE 448: Spring 12 Lab 4 – Part 2 Finite State Machines Basys2 FPGA Board.
Capacitance Sensor Project
4-bit Shift Register. 2-bit Register Serial-in-serial-out Shift Register.
Binary-to-BCD Converter
Designing with FPGAs ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Thomson Engineering.
Advanced Digital Circuits ECET 146 Week 4 Professor Iskandar Hack ET 221G,
ASIC 121: Practical VHDL Digital Design for FPGAs Tutorial 1 September 27, 2006.
VHDL for Combinational Circuits. VHDL We Know Simple assignment statements –f
EE3A1 Computer Hardware and Digital Design Lecture 5 Testbenches and Memories in VHDL.
ENG2410 Digital Design LAB #5 Modular Design and Hierarchy using VHDL.
Lecture #2 Page 1 ECE 4110– Sequential Logic Design Lecture #2 Agenda 1.Logic Design Tools Announcements 1.n/a.
Reaction Timer Project
ECE 331 – Digital System Design Multiplexers and Demultiplexers (Lecture #13)
EE3A1 Computer Hardware and Digital Design Lecture 2 Introduction to VHDL.
2’s Complement 4-Bit Saturator Discussion D2.8 Lab 2.
VHDL for Finite State Machines. Sorry – no standard way One way –Use TYPE and SIGNAL ARCHITECTURE Behavior OF two_ones_fsm IS TYPE State_type IS ( A,
4-to-1 Multiplexer: Module Instantiation Discussion D2.2 Example 5.
Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
11 EENG 1920 Introduction to VHDL. 22 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
PARBIT Tool 1 PARBIT Partial Bitfile Configuration Tool Edson L. Horta Washington University, Applied Research Lab August 15, 2001.
ECE 3450 M. A. Jupina, VU, 2016 Capacitance Sensor Project Goal: Creation of a digital capacitance sensor circuit where a variation in capacitance changes.
EGRE 6311 LHO 04 - Subprograms, Packages, and Libraries EGRE 631 1/26/09.
Hankuk University of Foreign Studies Digital IC design (Gates modeling with VHDL & Modelsim)
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
LAB #5 Modular Design and Hierarchy using VHDL
Lab 1: Using NIOS II processor for code execution on FPGA
The first change to your project files that is needed is to change the device to the correct FPGA. This is done by going to the Assignments tab on the.
LAB #4 Xilinix ISE Foundation Tools VHDL Design Entry “A Tutorial”
Describing Combinational Logic Using Processes
ECE 4110–5110 Digital System Design
VHDL 1. ver.7a VHDL1 INTRODUCTION TO VHDL (VERY-HIGH-SPEED-INTEGRATED-CIRCUITS HARDWARE DESCRIPTION LANGUAGE) KH WONG (w2 begins) (Some pictures are.
Getting Started with Vivado
Binary-to-BCD Converter
Getting Started with Vivado
Founded in Silicon Valley in 1984
ECE 331 – Digital System Design
4-Input Gates VHDL for Loops
디 지 털 시 스 템 설 계 UP2 Kit를 이용한 카운터 설계
Digital Logic with VHDL
Presentation transcript:

Hankuk University of Foreign Studies Radio Communication Systems Lab. 1 Digital IC design (8)

Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100 2

Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100  EasySoC-100 FPCA JTAG connect to PC  Create project as below

Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100  Create VHDL file

Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100  library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_unsigned.all;  entity exam is  port(rstb: in std_logic;key: in std_logic_vector(7 downto 0);  led_out: out std_logic_vector(7 downto 0));  end exam;  architecture design1 of exam is  signal clk_div:std_logic;  begin  process(key, rstb)  begin  if(rstb = '0')then  led_out <= " ";  else  case(key)is  when " "=>led_out <= " ";  when " "=>led_out <= " ";  when " "=>led_out <= " ";  when " "=>led_out <= " ";   when " "=>led_out <= “ ";  when " "=>led_out <= “ ";  when " "=>led_out <= “ ";  when " "=>led_out <= “ ";  when others =>led_out <= " ";  end case;  end if;  end process;  end design1; 5

Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100  After compilation, we need to set pin in EasySoC-100

Hankuk University of Foreign Studies Radio Communication Systems Lab. 7 Practice with EasySoC-100  Pin assign

Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100  After setting pins, start compilation again  Click programmer button

Hankuk University of Foreign Studies Radio Communication Systems Lab. 9 Practice with EasySoC Add Hardware 2. ByteBlasterMV or ByteBlaster II 3. Choose ByteBlasterII

Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC-100  Double click and select.sof file for programming FPGA  After click Start, wait 100% progress

Hankuk University of Foreign Studies Radio Communication Systems Lab. Practice with EasySoC Program/Configure check 2. Start 3. Download

Hankuk University of Foreign Studies Radio Communication Systems Lab. Report 12

Hankuk University of Foreign Studies Radio Communication Systems Lab. 13 Download to FPGA (3) 1. Auto Detect 2. Double click 3. led_controller.sof

Hankuk University of Foreign Studies Radio Communication Systems Lab. 14 Led control by Keypad (2)  Pin number of LED & Keypad

Hankuk University of Foreign Studies Radio Communication Systems Lab. 15 Led control by Keypad (3)  Pin assign