Chapter 2. Introduction To VHDL

Slides:



Advertisements
Similar presentations
1 Introduction to VHDL (Continued) EE19D. 2 Basic elements of a VHDL Model Package Declaration ENTITY (interface description) ARCHITECTURE (functionality)
Advertisements

1 Lecture 13 VHDL 3/16/09. 2 VHDL VHDL is a hardware description language. The behavior of a digital system can be described (specified) by writing a.
VHDL ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Thomson Engineering.
Introduction to VHDL (Lecture #5) ECE 331 – Digital System Design The slides included herein were taken from the materials accompanying Fundamentals of.
VHDL Data Types Module F3.1. VHDL Data Types Scalar Integer Enumerated Real (floating point)* Physical* Composite Array Record Access (pointers)* * Not.
COE 405 Design Methodology Based on VHDL Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Dr. Aiman H.
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448.
VHDL. What is VHDL? VHDL: VHSIC Hardware Description Language  VHSIC: Very High Speed Integrated Circuit 7/2/ R.H.Khade.
Introduction to VHDL (part 2)
VHDL Training ©1995 Cypress Semiconductor 1 Introduction  VHDL is used to:  document circuits  simulate circuits  synthesize design descriptions 
VHDL 9/12/2015www.noteshit.com1. What is VHDL? A Standard Language VHDL is the VHSIC (Very High Speed Integrated Circuit) Hardware Description Language.
ENG6090 RCS1 ENG6090 Reconfigurable Computing Systems Hardware Description Languages Part 4: Modeling Dataflow.
CONCORDIA 1 In this mode such as process clause, the assignments are carried out sequentially. This means that the assignments are executed in order of.
VHDL TUTORIAL Preetha Thulasiraman ECE 223 Winter 2007.
VHDL – Dataflow and Structural Modeling and Testbenches ENGIN 341 – Advanced Digital Design University of Massachusetts Boston Department of Engineering.
陳慶瀚 機器智慧與自動化技術 (MIAT) 實驗室 國立中央大學資工系 2009 年 10 月 8 日 ESD-04 VHDL 硬體描述語言概論 VHDL Hardware Description Language.
1/26 VHDL VHDL Structural Modeling Digital Logic.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHDL – Basic Language Elements  Identifiers: –basic identifier: composed of a sequence of one or more.
CPE 626 Advanced VLSI Design Lecture 4: VHDL Recapitulation (Part 2) Aleksandar Milenkovic
1 ECE 545 – Introduction to VHDL Dataflow Modeling of Combinational Logic Simple Testbenches ECE 656. Lecture 2.
Lecture #8 Page 1 Lecture #8 Agenda 1.VHDL : Operators 2.VHDL : Signal Assignments Announcements 1.HW #4 assigned ECE 4110– Digital Logic Design.
Copyright(c) 1996 W. B. Ligon III1 Getting Started with VHDL VHDL code is composed of a number of entities Entities describe the interface of the component.
VHDL Very High Speed Integrated Circuit Hardware Description Language Shiraz University of shiraz spring 2011.
Design Methodology Based on VHDL Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
Electrical and Computer Engineering University of Cyprus LAB 1: VHDL.
Hardware languages "Programming"-language for modelling of (digital) hardware 1 Two main languages: VHDL (Very High Speed Integrated Circuit Hardware Description.
Lecture 9 Chap 11: Subprograms Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
CEC 220 Digital Circuit Design More VHDL Fri, February 27 CEC 220 Digital Circuit Design Slide 1 of 15.
CEC 220 Digital Circuit Design Introduction to VHDL Wed, February 25 CEC 220 Digital Circuit Design Slide 1 of 19.
Digital System Projects
VHDL Programming Fundamentals Presented By Dr. Pradyut Kumar Biswal Department of Electronics, IIIT Bhubaneswar.
CEC 220 Digital Circuit Design Introduction to VHDL Friday, February 21 CEC 220 Digital Circuit Design Slide 1 of 10.
Lecture #8 Page 1 Lecture #8 Agenda 1.VHDL : Operators 2.VHDL : Signal Assignments Announcements 1.HW #4 assigned ECE 4110– Sequential Logic Design.
CEC 220 Digital Circuit Design Introduction to VHDL Wed, Oct 14 CEC 220 Digital Circuit Design Slide 1 of 19.
VHDL 표현방식.
1 CS 352 Introduction to Logic Design Lecture 5 Ahmed Ezzat Multiplexers, Decoders, Programmable Logic Devices, and Intro to VHDL Ch-9 + Ch-10.
Combinational logic circuit
Introduction To VHDL 홍 원 의.
Basic Language Concepts
Operators Assignment Operators Logical Operators Relational Operators
VHDL Basics.
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
Behavioral Modeling in Verilog
CPE 626 Advanced VLSI Design Lecture 2: VHDL Recapitulation Aleksandar Milenkovic
Signal & Variables April 14,2007 DSD,USIT,GGSIPU.
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
CHAPTER 10 Introduction to VHDL
OPERATORS and CONCURRENT STATEMENTS
VHDL VHSIC Hardware Description Language VHSIC
ECE 434 Advanced Digital System L9
CPE 528: Lecture #4 Department of Electrical and Computer Engineering University of Alabama in Huntsville.
CPE/EE 422/522 Advanced Logic Design L08
CPE/EE 422/522 Advanced Logic Design L07
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
Data Flow Modeling of Combinational Logic
VHDL (VHSIC Hardware Description Language)
CPE/EE 422/522 Advanced Logic Design L11
ECE 434 Advanced Digital System L10
VHDL Tutorial.
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
Data Flow Description of Combinational-Circuit Building Blocks
ECE 434 Advanced Digital System L11
Data Flow Description of Combinational-Circuit Building Blocks
Modeling Complex Behavior
VHDL Data Types Module F3.1.
© Copyright Joanne DeGroat, ECE, OSU
CprE / ComS 583 Reconfigurable Computing
COE 202 Introduction to Verilog
EEL4712 Digital Design (VHDL Tutorial).
Presentation transcript:

Chapter 2. Introduction To VHDL RTLAB 권일근 2002년 1월 2일

VHDL Description Of Combinational Networks Entity-Architecture Pairs entity entity-name is [port (interface-signal-declaration);] end [entity] [entity-name]; architecture architecture-name of entity-name is [declarations] begin architecture body end [architecture] [architecture-name]; list-of interface-signals: mode type [:= initial–value] {; list-of-interface-signals: mode type [:= initial-value]} mode: in, out, inout (bidirectional)

Ex) entity FullAdder is port (X, Y, Cin: in bit; Cout, Sum: out bit); end FullAdder; architecture Equation of FullAdder is begin Sum <= X xor Y xor Cin after 10ns; Cout <= (X and Y) or (X and Cin) or (Y and Cin) after 10 ns; end Equation; *) --: Comment <=: signal assignment.

VHDL Program Structure Entity Architecture Entity Architecture Module 1 Entity Architecture Module 2 Entity Architecture Module 3

process (sensitivity-list) Using VHDL Process process: a common way of modeling sequential logic in VHDL process (sensitivity-list) begin sequential-statements end process; if if condition then sequential statements {elsif condition then sequential statements} -- 0 or more elsif clauses may be included [else sequential statements] end if;

VHDL Models For A Multiplexer MUX I1 F I2 I3 A B

Conditional Assignment Statement F <= I0 when Sel = 0 else I1 when Sel = 1 else I2 when Sel = 2 else I3; case Sel is when 0 => F <= I0; when 1 => F <= I1; when 2 => F <= I2; when 3 => F <= I3; end case;

Modeling A Sequential Machine Behavioral Model Data-flow Model Structural Model wait-statement: uses instead of a sensitivity list. wait on sensitivity-list; wait for time-expression; wait until boolean-expression;

Variables, Signals, And Constants variable list_of variable_names : time_name [:= initial_value] signal list_of_signal_name : type_name [:= initial_value] constant constant_name : type_name := constant_value locality variable process, function, procedures signal architecture constant process, function, procedures, architecture type state_type is (S0, S1, S2, S3, S4, S5); signal state : state_type : = S1;

Arrays type SHORT_WORD is array (15 downto 0) of bit signal DATA_WORD: SHORT_WORD; variable ALT_WORD: SHORT_WORD:= “01010101…”; constant ONE_WORD: SHORT_WORD:= (others => ‘1’); type matrix4x3 is array (1 to 4, 1 to 3) of integer; variable matrixA: matrix4x3 := ((1, 2, 3), (4, 5, 6), (7, 8, 9), (10, 11, 12)); type intvec is array (natural range <>) of integer; signal intvec5: intvec (1 to 5) := (3, 2, 6, 8, 1);

Operator Binary logical operatoors: and or nand nor xor xnor Relational operator: = /= < <= > >= Shift operator: sll srl sla sra rol ror Adding operators: + - &(concatenation) Unary sign operators: + - Multiplying operator: * / mod rem Miscellaneous operators: not abs **

Functions function function_name (formal-parameter-list) return return-type is [declaration] begin sequential statements – must include return return-value; end function-name; The general form of a function call is function_name (actual-parameter-list) [loop-label:] for loop-index in range loop sequential statements end loop [loop-label];

Procedures procedure procedure_name (formal-parameter-list) is [declaration] begin sequential statements end procedure-name; procedure_name (actual-parameter-list);

Packages And Library package package_name is package declarations end [package][package_name]; package body package_name is package body declarations end [package body][package_name]; library, use ex) library BITLIB; use BITLIB.bit_pack.all;