Buffer Insertion with Adaptive Blockage Avoidance

Slides:



Advertisements
Similar presentations
Porosity Aware Buffered Steiner Tree Construction C. Alpert G. Gandham S. Quay IBM Corp M. Hrkic Univ Illinois Chicago J. Hu Texas A&M Univ.
Advertisements

Gregory Shklover, Ben Emanuel Intel Corporation MATAM, Haifa 31015, Israel Simultaneous Clock and Data Gate Sizing Algorithm with Common Global Objective.
OCV-Aware Top-Level Clock Tree Optimization
Advanced Interconnect Optimizations. Buffers Improve Slack RAT = 300 Delay = 350 Slack = -50 RAT = 700 Delay = 600 Slack = 100 RAT = 300 Delay = 250 Slack.
Ispd-2007 Repeater Insertion for Concurrent Setup and Hold Time Violations with Power-Delay Trade-Off Salim Chowdhury John Lillis Sun Microsystems University.
Buffer and FF Insertion Slides from Charles J. Alpert IBM Corp.
ELEN 468 Lecture 261 ELEN 468 Advanced Logic Design Lecture 26 Interconnect Timing Optimization.
Confidentiality/date line: 13pt Arial Regular, white Maximum length: 1 line Information separated by vertical strokes, with two spaces on either side Disclaimer.
1 Interconnect Layout Optimization by Simultaneous Steiner Tree Construction and Buffer Insertion Presented By Cesare Ferri Takumi Okamoto, Jason Kong.
© Yamacraw, 2001 Minimum-Buffered Routing of Non-Critical Nets for Slew Rate and Reliability A. Zelikovsky GSU Joint work with C. Alpert.
Low-power Clock Trees for CPUs Dong-Jin Lee, Myung-Chul Kim and Igor L. Markov Dept. of EECS, University of Michigan 1 ICCAD 2010, Dong-Jin Lee, University.
Minimum-Buffered Routing of Non- Critical Nets for Slew Rate and Reliability Control Supported by Cadence Design Systems, Inc. and the MARCO Gigascale.
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
Interconnect Optimizations. A scaling primer Ideal process scaling: –Device geometries shrink by  = 0.7x) Device delay shrinks by  –Wire geometries.
Power Optimal Dual-V dd Buffered Tree Considering Buffer Stations and Blockages King Ho Tam and Lei He Electrical Engineering Department University of.
UCLA TRIO Package Jason Cong, Lei He Cheng-Kok Koh, and David Z. Pan Cheng-Kok Koh, and David Z. Pan UCLA Computer Science Dept Los Angeles, CA
Interconnect Optimizations
Fast Buffer Insertion Considering Process Variation Jinjun Xiong, Lei He EE Department University of California, Los Angeles Sponsors: NSF, UC MICRO, Actel,
EE4271 VLSI Design Advanced Interconnect Optimizations Buffer Insertion.
1 Integrating Logic Retiming and Register Placement Tzu-Chieh Tien, Hsiao-Pin Su, Yu-Wen Tsay Yih-Chih Chou, and Youn-Long Lin Department of Computer Science.
ELEN 468 Lecture 271 ELEN 468 Advanced Logic Design Lecture 27 Interconnect Timing Optimization II.
Interconnect Synthesis. Buffering Related Interconnect Synthesis Consider –Layer assignment –Wire sizing –Buffer polarity –Driver sizing –Generalized.
Advanced Interconnect Optimizations. Timing Driven Buffering Problem Formulation Given –A Steiner tree –RAT at each sink –A buffer type –RC parameters.
VLSI Physical Design: From Graph Partitioning to Timing Closure Paper Presentation © KLMH Lienig 1 EECS 527 Paper Presentation Accurate Estimation of Global.
Introduction to Routing. The Routing Problem Apply after placement Input: –Netlist –Timing budget for, typically, critical nets –Locations of blocks and.
MGR: Multi-Level Global Router Yue Xu and Chris Chu Department of Electrical and Computer Engineering Iowa State University ICCAD
A Topology-based ECO Routing Methodology for Mask Cost Minimization Po-Hsun Wu, Shang-Ya Bai, and Tsung-Yi Ho Department of Computer Science and Information.
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Interconnect design. n Crosstalk. n Power optimization.
Xin-Wei Shih and Yao-Wen Chang.  Introduction  Problem formulation  Algorithms  Experimental results  Conclusions.
A Polynomial Time Approximation Scheme For Timing Constrained Minimum Cost Layer Assignment Shiyan Hu*, Zhuo Li**, Charles J. Alpert** *Dept of Electrical.
Archer: A History-Driven Global Routing Algorithm Mustafa Ozdal Intel Corporation Martin D. F. Wong Univ. of Illinois at Urbana-Champaign Mustafa Ozdal.
An Efficient Clustering Algorithm For Low Power Clock Tree Synthesis Rupesh S. Shelar Enterprise Microprocessor Group Intel Corporation, Hillsboro, OR.
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5: Global Routing © KLMH Lienig 1 EECS 527 Paper Presentation Techniques for Fast.
Thermal-aware Steiner Routing for 3D Stacked ICs M. Pathak and S.K. Lim Georgia Institute of Technology ICCAD 07.
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 6: Detailed Routing © KLMH Lienig 1 What Makes a Design Difficult to Route Charles.
ARCHER:A HISTORY-DRIVEN GLOBAL ROUTING ALGORITHM Muhammet Mustafa Ozdal, Martin D. F. Wong ICCAD ’ 07.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Interconnect design. n Crosstalk. n Power optimization.
A Faster Approximation Scheme for Timing Driven Minimum Cost Layer Assignment Shiyan Hu*, Zhuo Li**, and Charles J. Alpert** *Dept of ECE, Michigan Technological.
ELEN 468 Lecture 271 ELEN 468 Advanced Logic Design Lecture 27 Gate and Interconnect Optimization.
1 Efficient Obstacle-Avoiding Rectilinear Steiner Tree Construction Chung-Wei Lin, Szu-Yu Chen, Chi-Feng Li, Yao-Wen Chang, Chia-Lin Yang National Taiwan.
1 ε -Optimal Minimum-Delay/Area Zero-Skew Clock Tree Wire-Sizing in Pseudo-Polynomial Time Jeng-Liang Tsai Tsung-Hao Chen Charlie Chung-Ping Chen (National.
Fast Algorithms for Slew Constrained Minimum Cost Buffering S. Hu*, C. Alpert**, J. Hu*, S. Karandikar**, Z. Li*, W. Shi* and C. Sze** *Dept of ECE, Texas.
Chris Chu Iowa State University Yiu-Chung Wong Rio Design Automation
Physical Synthesis Buffer Insertion, Gate Sizing, Wire Sizing,
Routing Tree Construction with Buffer Insertion under Obstacle Constraints Ying Rao, Tianxiang Yang Fall 2002.
Prof. Shiyan Hu Office: EERC 518
An Efficient Surface-Based Low-Power Buffer Insertion Algorithm
1ISPD'03 Process Variation Aware Clock Tree Routing Bing Lu Cadence Jiang Hu Texas A&M Univ Gary Ellis IBM Corp Haihua Su IBM Corp.
Incorporating Driver Sizing Into Buffer Insertion Via a Delay Penalty Technique Chuck Alpert, IBM Chris Chu, Iowa State Milos Hrkic, UIC Jiang Hu, IBM.
A Fully Polynomial Time Approximation Scheme for Timing Driven Minimum Cost Buffer Insertion Shiyan Hu*, Zhuo Li**, Charles Alpert** *Dept of Electrical.
Global Clustering-Based Performance-Driven Circuit Partitioning Jason Cong University of California Los Angeles Chang Wu Aplus Design.
A Fully Polynomial Time Approximation Scheme for Timing Driven Minimum Cost Buffer Insertion Shiyan Hu*, Zhuo Li**, Charles Alpert** *Dept of Electrical.
A Novel Timing-Driven Global Routing Algorithm Considering Coupling Effects for High Performance Circuit Design Jingyu Xu, Xianlong Hong, Tong Jing, Yici.
An O(bn 2 ) Time Algorithm for Optimal Buffer Insertion with b Buffer Types Authors: Zhuo Li and Weiping Shi Presenter: Sunil Khatri Department of Electrical.
An O(nm) Time Algorithm for Optimal Buffer Insertion of m Sink Nets Zhuo Li and Weiping Shi {zhuoli, Texas A&M University College Station,
Proximity Optimization for Adaptive Circuit Design Ang Lu, Hao He, and Jiang Hu.
1 Double-Patterning Aware DSA Template Guided Cut Redistribution for Advanced 1-D Gridded Designs Zhi-Wen Lin and Yao-Wen Chang National Taiwan University.
Unified Adaptivity Optimization of Clock and Logic Signals Shiyan Hu and Jiang Hu Dept of Electrical and Computer Engineering Texas A&M University.
VLSI Physical Design Automation
Chapter 7 – Specialized Routing
2 University of California, Los Angeles
Buffered tree construction for timing optimization, slew rate, and reliability control Abstract: With the rapid scaling of IC technology, buffer insertion.
Sungho Kang Yonsei University
EDA Lab., Tsinghua University
Buffered Steiner Trees for Difficult Instances
Objectives What have we learned? What are we going to learn?
Clock Tree Routing With Obstacles
Fast Min-Register Retiming Through Binary Max-Flow
Performance-Driven Interconnect Optimization Charlie Chung-Ping Chen
Chapter 3b Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction Prof. Lei He Electrical Engineering Department.
Presentation transcript:

Buffer Insertion with Adaptive Blockage Avoidance J. Hu C. J. Alpert S. T. Quay G. Gandham IBM Corporation 11/12/2018 Jiang Hu, et al., IBM

Buffer Insertion Improve timing Van Ginneken’s Algorithm Drive long wire Shield load from critical path Van Ginneken’s Algorithm Given tree topology fixed Find optimal solution at fast speed Slack 24 33 73 -23 Slack 11/12/2018 Jiang Hu, et al., IBM

Buffer Blockage Slack 20 -11 Slack 26 -70 Slack Slack 11/12/2018 Jiang Hu, et al., IBM

Path vs. Branch Node 11/12/2018 Jiang Hu, et al., IBM

Previous Work on 2-pin Nets Dynamic programming Zhou, et al., DAC 99 Graph based algorithm Jagannathan, et al., DAC 00 Lai and Wong, DAC 00 Minimum delay buffered path is found in polynomial time 11/12/2018 Jiang Hu, et al., IBM

Previous Work on Multi-pin Nets RMP (Recursively Merging and Pruning), simultaneous tree construction and buffer insertion, Cong and Yuan, DAC 00 For buffer block planning Limited buffer sites, small nets High quality solution High complexity Tang, et al., ICCAD 01 Faster, Optimize delay Buffer block 11/12/2018 Jiang Hu, et al., IBM

Other Simultaneous Approaches Lillis, et al., GLSV 96 Integrate P-Tree with van Ginneken’s algorithms Salek, et al., DAC 98, 99 Combine P-Tree with fanout optimization Search solution over the entire Extended Hanan Grid 11/12/2018 Jiang Hu, et al., IBM

Design Request #sinks 1 2-6 7-11 > 11 #nets 21541 24901 4107 2407 Medium size modern chip design RMP run for at least one week Tree + van Ginneken’s algorithm run for 1-2 hours Simultaneous approach: Good at optimizing a few critical nets, or small nets Cannot afford for many medium/large nets 11/12/2018 Jiang Hu, et al., IBM

Any Fast Approach? Sequential approach, TCAD 4/01 Steiner tree + make wires to avoid blockages + buffer insertion Fast Wire detour may be unnecessary, timing may become poor Slack 7 34 Slack 11/12/2018 Jiang Hu, et al., IBM

Challenge Good solution quality Fast speed Close to van Ginneken’s algorithm Handle large nets and large number of blockages 11/12/2018 Jiang Hu, et al., IBM

Our Strategy Any technique somewhere between simultaneous and sequential methods? Construct Steiner tree as a guide Refine buffer solution search to limited region Allow flexibility to adjust tree topology Extend van Ginneken’s algorithm to incorporate tree adjustment with buffer insertion 11/12/2018 Jiang Hu, et al., IBM

RIATA Algorithm Repeater Insertion with Adaptive Tree Adjustment Almost same as van Ginneken’s algorithm Extension: when a branch node n is blocked Find an unblocked n’ closest to n, between n and parent_node( n ) Generate candidate solutions buffered at n’ Propagate buffered solutions at n’ together with unbuffered solutions at n to parent_node( n ) 11/12/2018 Jiang Hu, et al., IBM

Example Steiner node/sink Subtree Subtree 11/12/2018 Jiang Hu, et al., IBM

Experiments on Large Nets Slack (ps) 11/12/2018 Jiang Hu, et al., IBM

Slack Comparison with RMP Slack (ps) 11/12/2018 Jiang Hu, et al., IBM

Comparison on CPU Time CPU (sec) #pins 11/12/2018 Jiang Hu, et al., IBM

Conclusion and Future Work When construct buffered tree under blockage constraint for large design, either simultaneous or sequential method has significant weakness Our RIATA method can obtain a good solution at a fast speed Future work: optimize pin-pin path together with branch nodes 11/12/2018 Jiang Hu, et al., IBM