STATIC TIMING ANALYSIS, CROSS TALK AND NOISE

Slides:



Advertisements
Similar presentations
1 A latch is a pair of cross-coupled inverters –They can be NAND or NOR gates as shown –Consider their behavior (each step is one gate delay in time) –From.
Advertisements

ECE 3110: Introduction to Digital Systems
CSE241 Formal Verification.1Cichy, UCSD ©2003 CSE241A VLSI Digital Circuits Winter 2003 Recitation 6: Formal Verification.
ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Dr. Shi Dept. of Electrical and Computer Engineering.
Digital Design: Chapters Chapter 1. Introduction Digital Design - Logic Design? Analog versus Digital Once-analog now goes digital –Still pictures.
CS 151 Digital Systems Design Lecture 28 Timing Analysis.
Design for Testability
Lecture 5 Key Locker using FPGA 2007/10/05 Prof. C.M. Kyung.
Department of Communication Engineering, NCTU
Introduction Verilog is a HARDWARE DESCRIPTION LANGUAGE (HDL) A hardware description language is a language or means used to describe or model a digital.
EEE2243 Digital System Design Chapter 7: Advanced Design Considerations by Muhazam Mustapha, extracted from Intel Training Slides, April 2012.
An Unobtrusive Debugging Methodology for Actel AX and RTAX-S FPGAs Jonathan Alexander Applications Consulting Manager Actel Corporation MAPLD 2004.
Asynchronous Sequential Circuit Design  SSC versus ASC  Asynchronous Sequential Machine Modes Prepared By AJIT SARAF.
Chapter 0 deSiGn conCepTs EKT 221 / 4 DIGITAL ELECTRONICS II.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
M.Mohajjel. Digital Systems Advantages Ease of design Reproducibility of results Noise immunity Ease of Integration Disadvantages The real world is analog.
FUNDAMENTALS OF NETWORKING
ECE 331 – Digital System Design Introduction to Sequential Circuits and Latches (Lecture #16)
EECE 320 L8: Combinational Logic design Principles 1Chehab, AUB, 2003 EECE 320 Digital Systems Design Lecture 8: Combinational Logic Design Principles.
1 A hardware description language is a computer language that is used to describe hardware. Two HDLs are widely used Verilog HDL VHDL (Very High Speed.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 61 Lecture 6 Logic Simulation n What is simulation? n Design verification n Circuit modeling n True-value.
High Speed Properties of Digital Gates, Copyright F. Canavero, R. Fantino Licensed to HDT - High Design Technology
Introduction to the FPGA and Labs
IAY 0600 Digital Systems Design
Computer Architecture & Operations I
Adapted from Krste Asanovic
ASIC Design Methodology
Combinational Logic Design
REGISTER TRANSFER LANGUAGE (RTL)
An Unobtrusive Debugging Methodology for Actel AX and RTAX-S FPGAs
Flip-Flops and Related Devices
VLSI Testing Lecture 5: Logic Simulation
Introduction to Advanced Digital Design (14 Marks)
VLSI Testing Lecture 5: Logic Simulation
Learning Outcome By the end of this chapter, students are expected to refresh their knowledge on sequential logic related to HDL.
Vishwani D. Agrawal Department of ECE, Auburn University
Latches, Flip-Flops and Registers
SIDDAGANGA INSTITUTE OF TECHNOLOGY
Topics The logic design process..
Interfacing Memory Interfacing.
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
Flip-Flop Applications
Timing Verification Presented by Rashmi H M.
Limitations of STA, Slew of a waveform, Skew between Signals
CLOCK DOMAIN AND OPERATING CONDITIONS
Introduction to Static Timing Analysis:
Timing Analysis 11/21/2018.
触发器 Flip-Flops 刘鹏 浙江大学信息与电子工程学院 March 27, 2018
Hardware Description Languages
IAY 0800 Digitaalsüsteemide disain
CS341 Digital Logic and Computer Organization F2003
Lecture 1.3 Hardware Description Languages (HDLs)
Introduction to Digital Systems
Digital Fundamentals Tenth Edition Floyd Chapter 11.
Topics Clocking disciplines. Flip-flops. Latches..
VHDL Introduction.
Sequential circuit analysis: kale
TIMING ACROSS CLOCK DOMAIN
ECE 352 Digital System Fundamentals
Sequential circuit analysis
FPGA Tools Course Timing Analyzer
ECE 352 Digital System Fundamentals
Synchronous Digital Design Methodology and Guidelines
SEQUENTIAL CIRCUITS __________________________________________________
DeMorgan’s Law and Gates
Chapter 10 Introduction to VHDL
Synchronous Digital Design Methodology and Guidelines
Presentation transcript:

STATIC TIMING ANALYSIS, CROSS TALK AND NOISE By ANAND K N (1SI16LVS01)

Contents Introduction STA Functioning of STA Advantages of STA Crosstalk and Noise

Introduction Static Timing Analysis is one of the techniques used to verify the timing of a digital design. The term timing analysis is used to refer to either static timing analysis or the timing simulation. Analysis does not depend upon the data values being applied at the input pins.

Continued.., In STA a stimulus is applied on input signals resulting behavior is observed and verified. The purpose of static timing analysis is to validate if the design can operate at the rated speed.

Basic figure of STA

Functionality of STA The DUA is the design under analysis Examples of timing checks are setup and hold checks Setup checks : It ensures that the data can arrive at a flip-flop within the given clock period Hold checks : It ensures that a flip-flop captures the intended data correctly

Functionality of STA These checks ensure that the proper data is ready and available for capture and latched in for the new state Entire design is analyzed once and the required timing checks are performed for all possible paths STA is a complete and exhaustive method for verifying the timing of a design.

Functionality of STA The DUA is typically specified using a hardware description language such as VHDL or Verilog HDL The external environment including a)Clock definitions b)SDC The timing reports are in ASCII form with multiple columns and column showing one attribute of the path delay

Advantages of STA Static timing analysis is a complete and exhaustive verification of all timing checks of a design Timing analysis methods such as simulation can only verify the portions of the design that get exercised by stimulus Faster and simpler way of checking and analyzing all the timing paths

What is Crosstalk and Noise Traces are used to make the connections between various portions of the circuit Interconnect induces noise and crosstalk Crosstalk means interference Noise means unwanted things (signal)

Causes of Crosstalk and Noise Design functionality and its performance can be limited by noise Noise occurs due to crosstalk (interference) with other signals or due to noise on primary inputs or the power supply Limit the frequency of operation and it can also cause functional failures

THANK YOU