IMDL Summer 2002 Matthew Chernosky July 11, 2002

Slides:



Advertisements
Similar presentations
VHDL Lecture 1 Megan Peck EECS 443 Spring 08.
Advertisements

Lecture #28 Page 1 ECE 4110– Sequential Logic Design Lecture #28 Agenda 1.Counters Announcements 1.HW #13 assigned 2.Next: Test #2 Review.
28/10/2007DSD,USIT,GGSIPU1 Latch & Register Inference.
L18 – VHDL for other counters and controllers. Other counters  More examples Gray Code counter Controlled counters  Up down counter  Ref: text Unit.
Arbitrary Waveform Discussion 5.5 Example 34.
1 VLSI DESIGN USING VHDL Part II A workshop by Dr. Junaid Ahmed Zubairi.
Ring Counter Discussion D5.3 Example 32. Ring Counter if rising_edge(CLK) then for i in 0 to 2 loop s(i)
Stop Watch Sean Hicks Dongpu Jin ELEC 307 Project 2 Instructor: Alvaro Pinto April/12/2011.
Logic Design Fundamentals - 3 Discussion D3.2. Logic Design Fundamentals - 3 Basic Gates Basic Combinational Circuits Basic Sequential Circuits.
Registers VHDL Tutorial R. E. Haskell and D. M. Hanna T2: Sequential Logic Circuits.
6/27/20061 Sequence Detectors Lecture Notes – Lab 5 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
6/12/20151 Sequence Detectors Lecture Notes – Lab 4 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
Counters Discussion D5.3 Example 33. Counters 3-Bit, Divide-by-8 Counter 3-Bit Behavioral Counter in Verilog Modulo-5 Counter An N-Bit Counter.
Lab 3 & 4 Discussion EE414/514 VHDL Design September 25.
4-bit Shift Register. 2-bit Register Serial-in-serial-out Shift Register.
7/23 Timers in Coldfire Processor Computer Science & Engineering Department Arizona State University Tempe, AZ Dr. Yann-Hang Lee (480)
Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
System Arch 2008 (Fire Tom Wada) /10/9 Field Programmable Gate Array.
Design Verification VHDL ET062G & ET063G Lecture 5 Najeem Lawal 2012.
10/10/ Controlling YOUR ROBOT. 10/10/2015 Basic Stamp  Basic Stamp Input - output pins Interpreter Chip Power supply: 5 Volts voltage Memory: EEPROM.
An aluminium two-wheeled robot (ALBot) for teaching Phil Culverhouse.
Chapter 10 State Machine Design. 2 State Machine Definitions State Machine: A synchronous sequential circuit consisting of a sequential logic section.
VHDL Introduction. V- VHSIC Very High Speed Integrated Circuit H- Hardware D- Description L- Language.
ENG241 Digital Design Week #8 Registers and Counters.
Main Project : Simple Processor Mini-Project : 3-bit binary counter (using 7400 series) Memory By Oluwayomi B. Adamo.
Lab 05 Sen Ma.
Copyright(c) 1996 W. B. Ligon III1 Getting Started with VHDL VHDL code is composed of a number of entities Entities describe the interface of the component.
Reaction Timer Project
Reconfigurable Computing - VHDL - Types John Morris Chung-Ang University The University of Auckland.
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
15-Dec-15EE5141 Chapter 4 Sequential Statements ä Variable assignment statement ä Signal assignment statement ä If statement ä Case statement ä Loop statement.
2/10/07DSD,USIT,GGSIPU1 BCD adder KB3B2B1B0CD3D2D1D
 Seattle Pacific University EE Logic System DesignCounters-1 Shift Registers DQ clk DQ DQ ShiftIn Q3Q3 Q2Q2 DQ Q1Q1 Q0Q0 A shift register shifts.
CEC 220 Digital Circuit Design VHDL in Sequential Logic Wednesday, March 25 CEC 220 Digital Circuit Design Slide 1 of 13.
Sequential Logic Design by VHDL
Digital System Design using VHDL
ECE DIGITAL LOGIC LECTURE 20: REGISTERS AND COUNTERS Assistant Prof. Fareena Saqib Florida Institute of Technology Fall 2015, 11/19/2015.
PULSE WIDITH MODULATION EE 587 Presented by Viswanadha Kakarlapudi.
C Examples 6. Download Links MPLAB IDE dsPIC30F4011/4012 Data Sheet dsPIC30F Family Reference Manual MikroC MikroC Manual MikroC Quick Reference.
Registers and Counters Discussion D8.1. Logic Design Fundamentals - 3 Registers Counters Shift Registers.
GreenJacket IMDL Summer 2002 Final Report Matthew Chernosky August 6, 2002.
An Introduction to V.H.D.L.. Need of a Compiler… main( ) { int x=10,y=20,z; z = x + y ; printf ( “ %d “, z ); getch( ) ; } What’s That ? Give me only.
Why are Timer Functions Important?
Sequential statements (1) process
LAB #6 Sequential Logic Design (Flip Flops, Shift Registers)
Application Case Study Security Camera Controller
Main Project : Simple Processor Mini-Project : Vending Machine Memory
Registers and Counters
Revision2 for CENG34340 (Self study exercise no need to submit)
Project Custom Designed Integrated Circuits
Part II A workshop by Dr. Junaid Ahmed Zubairi
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
Part IV: VHDL CODING.
Dept. of Info. & Comm. Eng. Prof. Jongbok Lee
ECE 4110–5110 Digital System Design
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
Pulse-Width Modulation (PWM)
VHDL Hierarchy in XILINX
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
Controlling YOUR ROBOT
EE4OI4 Engineering Design
Fibonacci Sequence Lecture L4.1 Lab 3.
Founded in Silicon Valley in 1984
Figure 8.1. The general form of a sequential circuit.
Digital Systems II EEC 180 Bevan M. Baas.
CBETA bunch pattern and BPM trigger generator Version 2
System Controller Approach
디 지 털 시 스 템 설 계 UP2 Kit를 이용한 카운터 설계
Presentation transcript:

IMDL Summer 2002 Matthew Chernosky July 11, 2002 Special Design Report IMDL Summer 2002 Matthew Chernosky July 11, 2002

Overview Additional hardware Pulse Width Modulation channels Need for additonal PWM channels Design Results

Need for additional channels Atmel ATMega163 3 PWM channels built-in Motion Requires 2 channels (left and right) Golf ball pickup Needs more than one channel

Design 2 additional channels to be implemented Channels used for locomotion Altera MAX7032 CPLD Development in VHDL

Design 3 Settings for each channel Forward Reverse Stopped A 2-bit wide input bus selects desired setting for each channel 4 output port pins of uP

Design 1 MHz oscillator Atmel PWM A Out Mega163 Altera MAX7032 Chan A select Altera MAX7032 PWM A Out PWM B Out Chan B select CPLD Microcontroller

Design 15-bit counter FF Logic FF CPLD Reset PWM A 1 MHz clock PWM B Chan A select Chan B select CPLD

VHDL ENTITY motor_pwm_580 IS PORT( clk : in STD_LOGIC; PWM_IN_A: in STD_LOGIC_VECTOR(1 downto 0); PWM_IN_B: in STD_LOGIC_VECTOR(1 downto 0); PWM_OUT_A: out STD_LOGIC; PWM_OUT_B: out STD_LOGIC ); END motor_pwm_580;

VHDL cnt: PROCESS (clk) BEGIN IF (clk = '1' and clk'EVENT) THEN if (count < COUNT_MAX) then -- increment counter count <= count + 1; else -- reset counter when COUNT_MAX reached count <= COUNT_RST; end if; END IF; END PROCESS;

Results Oscilliscope waveform tests Works with servos 1.75 ms 20 ms