Role of Glycine in Chemical Mechanical Planarization (CMP) of Copper

Slides:



Advertisements
Similar presentations
Department of Chemical Engineering Nara National College of Technology Takanori KOBAYASHI, Atsuhiro KAWAMURA, Katsumi KATAKURA, Hirohisa YAMADA Electrochemical.
Advertisements

Thermo-compression Bonding
BEOL Al & Cu.
Measuring concentration using electrodes Indicator electrodes used with reference electrode to measure potential of unknown solution E cell = E indicator.
Redox Equilibria. Redox equilibria When a metal electrode is placed into a solution of one of its salts two things can happen; 1) Metal ions go into solution;
SYNTHESIS OF COPPER NANOWIRES WITH NANO- TWIN SUBSTRUCTURES 1 Joon-Bok Lee 2 Dr. Bongyoung I. Yoo 2 Dr. Nosang V. Myung 1 Department of Chemical Engineering,
Electrochemical Aspects of Copper Chemical Mechanical Planarization (CMP) Esta Abelev, D. Starosvetsky and Y. Ein-Eli. Introduction: Copper is used as.
CMP FtF 9 Nov 06 Friction Updates 1.Last FtF Past issues & solutions 2.Latest data CoF vs. slurry dilution CoF vs. rotation rate Fz vs. slurry dilution.
Corrosion/Erosion PROCESS OUT OF CONTROL.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing Belongia, et al 1 Treatment of CMP Waste Streams B.M. Belongia,
Chemical Mechanical Polishing
Corrosion in active state Jacek Banaś J. Banaś, Corrosion Resistant Alloys. Fundamental Aspects of Material Selection, in Metallurgy on the Turn of the.
THE EFFECT OF TYPE OF NANOPARTICLES ON THE QUENCHING PROCESS
1 Colloidal Aspects of Chemical Mechanical Polishing (CMP) Tanuja Gopal & Jan Talbot Chemical Engineering Program University of California, San Diego May.
FLCC March 19, 2007 CMP 1 FLCC Seminar Title: Effects of CMP Slurry Chemistry on Agglomeration of Alumina Particles and Copper Surface Hardness Faculty:
Better CMP Cleaning Solutions Surfactanized Metal Inhibitors, Oxygen Scavengers and a New Particle Remover March CMPUG 2014 San Jose By Geoffrey.
Introduction Chemical mechanical polishing (CMP) is a widely used technique for the planarization of metal and dielectric films to accomplish multilevel.
FLCC September 25, Fiona M. Doyle and Shantanu Tripathi* University of California at Berkeley Department of Materials Science and Engineering 210.
CMP C M P HEMICAL ECHANICAL LANARIZATION.
Potentiometry and potentiometric measurements. potentiometer A device for measuring the potential of an electrochemical cell without drawing a current.
8:30 – 9:00Research and Educational Objectives / Spanos 9:00 – 9:45 CMP / Doyle, Dornfeld, Talbot, Spanos 9:45 – 10:30 Plasma & Diffusion / Graves, Lieberman,
Principal Investigator: Chris Rogers
Electrochemical Cell An electrochemical cell uses chemistry to produce electricity.
1 Chemical Engineering Tools for Semiconductor Fabrication David Cohen, PhD AIChE Norcal Symposium April 12, 2005.
CMP C hemical M echanical P lanarization Carried out by Eran Cantrell, Bobby O’Ryan, And Maximus (CMP)
In-Situ Measurements for Chemical Mechanical Polishing James Vlahakis Caprice Gray CMP-MIC February 20, 2006.
Thermodynamics in Chip Processing II Terry A. Ring.
The Effect of Wafer Shape in Chemical Mechanical Planarization Researcher: Joseph Lu Principal Investigator: Chris Rogers Corporate Sponsors: Cabot Corporation.
FLCC October 17, Ling Wang and Fiona M. Doyle University of California at Berkeley Department of Materials Science and Engineering 210 Hearst Mining.
11/8/ Chemical Aspects of CMP SFR Workshop November 8, 2000 Tanuja Gopal and Prof. Jan Talbot UC San Diego La Jolla, CA 2001 GOAL: Build integrated.
Polarization.
1 U of M Materials Science Seagate Design Group Alan Bagwell Tony Lindert Loc Nguyen Greg Rayner Industrial Mentor: Dr. Vince Engelkes Faculty Advisor:
Fixed Abrasive Design for Chemical Mechanical Polishing
Feature Level Compensation and Control: Chemical Mechanical Planarization Investigators Fiona M. Doyle, Dept. of Materials Science and Engineering David.
Brizon Inc Products: Microelectronic Application CMP Slurry additive CMP cleaning solutions Wafer storage buffer solution.
Center for Materials for Information Technology an NSF Materials Science and Engineering Center Substrate Preparation Techniques Lecture 7 G.J. Mankey.
Introduction. During the last decade the interest in copper passivity significantly increased due to the important role of copper in microelectronic industry.
Feature-level Compensation & Control F LCC CMP April 5, 2006 A UC Discovery Project.
Chemical Techniques and Developments Mechanical Planarization.
Andrew Chang, David Dornfeld
Construction of Erosion-Corrosion Maps for Pure Metals in Aqueous Slurries B. D. Jana and M. M. Stack Department of Mechanical Engineering, University.
Lecture 13.0 Chemical Mechanical Polishing. What is CMP? Polishing of Layer to Remove a Specific Material, e.g. Metal, dielectric Planarization of IC.
Acoustic and Thermal Methods in Detecting Endpoint during Chemical Mechanical Polishing of Metal Overlay for Nanoscale Integrated Circuits Manufacturing.
 Laboratory for Manufacturing Automation, 2005 University of California at Berkeley Modeling of CMP David Dornfeld CMP researchers: Jihong Choi, Sunghoon.
Introduction. During the last decade the interest in copper passivity significantly increased due to the important role of copper in microelectronic industry.
Ch. 21 Potentiometry 1. General principles
EE412 Project: Corrosion resistant ALD coatings Alex Haemmerli and Joey Doll Mentor: J Provine.
In the name of GOD.
By: Dr Irannejad. 2 3 Decrease in the Gibbs Function as a Condition for Spontaneous Reaction.
Fundamentals of Analytical Chemistry Eighth Edition.
Chemical Mechanical Polishing
electrochemical stability of the siliceous brass ЛК80-3
Carbon Additives for Improved Lead Acid Battery Performance
Potentiometry and potentiometric measurements
CHEM GEMS: Redox Andy Cherkas Stouffville DSS 801 Hoover Park Drive
Layout and fabrication of CMOS circuits
Prof. Sergiy Lavrynenko National Technical University “Kh. P. I
Andrew Chang, David Dornfeld
An electrochemical cell uses chemistry to produce electricity.
Electrolytic reduction of red mud in strong alkaline solution
Section 9: CMP EE143 – Ali Javey.
Enabling Full Profile CMP Metrology and Modeling
Acoustic Emission Sensing for Chemical Mechanical Polishing (CMP)
Jianfeng Luo, Prof. David Dornfeld
Jianfeng Luo and David A. Dornfeld
Full Profile CMP Metrology
Jianfeng Luo, David Dornfeld
FACTORS AFFECTING REACTIONS IN CELLS
Supercapacitor materials and manganese dioxides WAQAS HAROON PPH
Presentation transcript:

Role of Glycine in Chemical Mechanical Planarization (CMP) of Copper SFR Workshop May 24, 2001 Serdar Aksu, Fiona M. Doyle Berkeley, CA 2001 GOAL: to delineate specific roles of a range of complexing agents and oxidizers in copper CMP by 12/30/2001. 5/24/2001

Objective and Methods In copper CMP, electrochemical and mechanical mechanisms are not well understood. Develop a foundation for understanding the behavior of slurries with complexing agents using glycine as a model reagent Comparison of Cu electrochemical behavior in aqueous solutions with and without glycine in terms of Potential-pH diagrams Polarization experiments Comparison of in-situ Cu electrochemical behavior during polishing by slurries/solutions with or without glycine In-situ polarization experiments In-situ monitoring of open circuit potential (EOC) 5/24/2001

TYPICAL METAL (Cu, W, Al etc.) CMP SLURRY CHEMICALS Planarization Mechanism in Metal CMP by Kaufman’s Tungsten CMP Model 1- Removal of Passivating Film by Mechanical Action at Protruding Areas 2- Wet Etch of Unprotected Metal by Chemical Action. Passivating Film Reforms 3- Planarization by Repetitive Cycles of (1) and (2) Metal Passivating Film TYPICAL METAL (Cu, W, Al etc.) CMP SLURRY CHEMICALS INHIBITORS: Benzotriazole (BTA) OXIDIZERS: H2O2, KIO3, Fe(NO3)3 COMPLEXING AGENTS: NH3, EDTA, Glycine, Ethylene Diamine PASSIVATION DISSOLUTION 5/24/2001

Experimental Techniques Rotating Disk Electrode In-situ Electrochemical Experiments Rotator Frame w=200 rpm Rotating Cu Disk electrode Luggin Probe & Reference Electrode Fritted Glass Gas bubbler P=27.6 kPa Magnetic Stirrer Pt Counter Electrodes Copper Working Electrode Solution / Slurry pool (Chemicals / Alumina abrasive Particles w/ Average Size ~ 120 nm from EKC Tech.) Suba 500 Polish Pad (Rodel Corp) 5/24/2001

Copper Electrochemical Behavior No Glycine CuT=10-5 RDE 200 rpm pH=4 pH=9 pH=12 CuT=10-5 ; LT=10-2 10-2 M Glycine 5/24/2001

Polishing w/ pad and 5 % Al2O3 In-situ Polarization Diagrams No Glycine 10-2 M Glycine pH=9 No abrasion Polishing w/ pad only Polishing w/ pad and 5 % Al2O3 No Glycine 10-2 M Glycine pH=12 5/24/2001

In-situ Open Circuit Potential Measurements Without Glycine With 10-2 M Glycine 5/24/2001

Conclusions Polarization results well correlated with potential-pH diagrams No significant changes in in-situ polarization for active behavior Mechanical components significantly affected in-situ polarization for active-passive behavior Kaufman’s tungsten CMP model is also valid for Cu CMP Glycine (complexing agents) may enhance the polishing efficiency 5/24/2001

Future Goals Investigation of Cu electrochemical behavior in ethylenediamine (En) and ethylenediaminetetraacetic acid (EDTA) Studying Cu polishing behavior in EDTA Delineating the synergy effect between chemical (electrochemical) and mechanical contributions Exploration of the role of chemical oxidizers, especially H2O2 5/24/2001