Katholieke Hogeschool Kempen VHDL package 1 Package Basic functions in library.

Slides:



Advertisements
Similar presentations
MC542 Organização de Computadores Teoria e Prática
Advertisements

MC542 Organização de Computadores Teoria e Prática
DISEÑO LÓGICO (DLO) Ejemplos de VHDL.
06/10/071 Security System Using VHDL. 06/10/072 Project Members Amal Shanavas Aneez I Ijas Rahim Renjith S Menon Sajid S Chavady.
HDL Programming Fundamentals
UNIT 8: Synthesis Basics
arquitectura – implementação
VHDL Introdução Paulo C. Centoducatte fevereiro de 2005
VHDL Coding Style MO801/MC912.
MO Prof. Paulo Cesar Centoducatte MC542 Organização de Computadores Teoria e Prática.
Flip-Flop J-K.
Hub The Only Co-Simulation Tool of Its Kind on the Market The Only Co-Simulation Tool of Its Kind on the Market.
Vervolg C Hogeschool van Utrecht / Institute for Computer, Communication and Media Technology 1 Onderwerpen voor vandaag Dallas one-wire interface Opgave:
10/1/2014 Memory and I/O subsystem Reference: Introduction to Digital System by Ercegovac, Lang & Moreno, Wiley Publisher.
An Introduction to Verilog: Transitioning from VHDL
ASIC 121: Practical VHDL Digital Design for FPGAs Tutorial 2 October 4, 2006.
Adders and Subtractors
Vervolg C Hogeschool van Utrecht / Institute for Computer, Communication and Media Technology 1 Onderwerpen voor vandaag functie definitions;.h files;
©2004 Brooks/Cole FIGURES FOR CHAPTER 20 VHDL FOR DIGITAL SYSTEM DESIGN Click the mouse to move to the next page. Use the ESC key to exit this chapter.
陳慶瀚 機器智慧與自動化技術 (MIAT) 實驗室 國立中央大學資工系 2009 年 10 月 15 日 ESD-05 Grafcet-to-VHDL 硬體合成 Grafcet-to-VHDL Hardware Synthesis.
VHDL in digital circuit synthesis (tutorial) dr inż. Miron Kłosowski EA 309
Sequential Design ELEC 311 Digital Logic and Circuits Dr. Ron Hayne
VHDL Lecture 1 Megan Peck EECS 443 Spring 08.
OBJECTIVES Learn the history of HDL Development. Learn how the HDL module is structured. Learn the use of operators in HDL module. Learn the different.
VHDL Programming in CprE 381 Zhao Zhang CprE 381, Fall 2013 Iowa State University Last update: 9/15/2013.
VHDL Refresher ECE 437 April 13, 2015 Motivation ECE 337 is a prerequisite But… –You may have taken 337 a few semesters previous –Breaks causes memory.
Introduction To VHDL for Combinational Logic
VHDL範例 真值表 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; ENTITY true IS
Lecture #28 Page 1 ECE 4110– Sequential Logic Design Lecture #28 Agenda 1.Counters Announcements 1.HW #13 assigned 2.Next: Test #2 Review.
Multiplexers Section 3-7 Mano & Kime. Multiplexers & Demultiplexers Multiplexers (Selectors) Lab 1 – Behavioral VHDL -- Multiplexers MUX as a Universal.
Digital Logic with VHDL EE 230 Digital Systems Fall 2006 (10/17/2006)
EELE 367 – Logic Design Module 4 – Combinational Logic Design with VHDL Agenda 1.Decoders/Encoders 2.Multiplexers/Demultiplexers 3.Tri-State Buffers 4.Comparators.
LECTURE 4: The VHDL N-bit Adder
Introduction to VHDL CLASS MATERIALS EECE 255. Very High Speed Integrated Circuit Hardware Description Language Industry standard language to describe.
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
VHDL Structural Architecture ENG241 Week #5 1. Fall 2012ENG241/Digital Design2 VHDL Design Styles Components and interconnects structural VHDL Design.
Sequential Statements
MO Prof. Paulo Cesar Centoducatte MC542 Organização de Computadores Teoria e Prática.
Multiplexer as a Universal Element Discussion D2.6 Example 9.
Top-level VHDL Designs
Generic Multiplexers: Parameters Discussion D2.5 Example 8.
2-to-1 Multiplexer: if Statement Discussion D2.1 Example 4.
Dr. Turki F. Al-Somani VHDL synthesis and simulation – Part 2 Microcomputer Systems Design (Embedded Systems)
Managing design complexity Partition of designs Typical design process using VHDL Test Bed A VHDL example.
Digilent Spartan 3 Board Discussion D3.3
ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #12) The slides included herein were taken from the materials.
ECE 331 – Digital System Design
Lecture #6 Page 1 Lecture #6 Agenda 1.VHDL - Architecture 2.VHDL - Packages Announcements 1.HW #3 assigned ECE 4110– Sequential Logic Design.
(1) Programming Mechanics © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
Circuit Simulation 1) Functional simulation :- Propagation delay through the circuit are neglected. 2) Timing simulation :- Propagation delay through the.
GHDL Tutorial From with-ghdl/ with-ghdl/
Purpose of IEEE library in VHDL By Srujana Aramalla Instructor: Dr.Albert B. Grubbs.
1 Part I: SYSTEM DESIGN. 2 Packages and Components Functions and Procedures Problem (Design & Implementation) Additional System Designs.
VHDL Project I: Introduction to Testbench Design Matthew Murach Slides Available at:
Basic Overview of VHDL Matthew Murach Slides Available at:
ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #11) The slides included herein were taken from the materials.
4-to-1 Multiplexer: Module Instantiation Discussion D2.2 Example 5.
Digital System Projects
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
IAY 0600 Digital Systems Design VHDL discussion Structural style Modular design and hierarchy Part 1 Alexander Sudnitson Tallinn University of Technology.
ECE 332 Digital Electronics and Logic Design Lab Lab 3 Introduction to Starter Kit ECE 332 George Mason University.
Structural style Modular design and hierarchy Part 1
LAB #4 Xilinix ISE Foundation Tools VHDL Design Entry “A Tutorial”
Structural style Modular design and hierarchy Part 1
Structural style Modular design and hierarchy Part 1
Instructions to get MAX PLUS running
IAY 0600 Digital Systems Design
ECE 448 Lecture 4 Modeling of Circuits with a Regular Structure Constants, Aliases, Packages ECE 448 – FPGA and ASIC Design with VHDL.
4-Input Gates VHDL for Loops
Digital Logic with VHDL
Presentation transcript:

Katholieke Hogeschool Kempen VHDL package 1 Package Basic functions in library

Katholieke Hogeschool Kempen VHDL package 2 Library IEEE Std_logic is gedefinieerd in het package: o “ieee.std_logic_1164” Dit package zit in de library : o “library IEEE” Oproepen van verschillende Packages uit library IEEE o library ieee; o use ieee.std_logic_1164.all; (standaard data types) o use ieee.std_logic_arith.all; (rekenkundige bewerkingen)

Katholieke Hogeschool Kempen VHDL package 3 Package declaration -- Package declaration package name_of_package is package declarations end package name_of_package;

Katholieke Hogeschool Kempen VHDL package 4 Voorbeeld Package declaration library ieee; use ieee.std_logic_1164.all; package basic_func is -- AND2 declaration component AND2 port (in1, in2: in std_logic; out1: out std_logic); end component; -- OR2 declaration component OR2 port (in1, in2: in std_logic; out1: out std_logic); end component; end package basic_func;

Katholieke Hogeschool Kempen VHDL package 5 Oproepen package Library ieee; use ieee.std_logic_1164.all; Library work; Use work. basic_func.all; o Work is de naam van het working library o Basic_func is het package in de library o.all wil zeggen dat we alles willen gebruiken uit dit package

Katholieke Hogeschool Kempen VHDL package 6 Overzicht package files “oefening auto alarm”.vhd file AND1.vhd file OR1.vhd file NOT1.vhd file test_package o Roept AND, OR, NOT op o Wordt bewaard in WORK library.vhd file warning1_package o Roept package (test_package) op uit work library

Katholieke Hogeschool Kempen VHDL package 7 voordeel De package hoeft niet in de zelfde map te staan als het top design

Katholieke Hogeschool Kempen VHDL package 8 Simpel voorbeeld LIBRARY IEEE; USE IEEE.std_logic_1164.all; ENTITY AND1 IS PORT( in1, in2: INSTD_LOGIC; out1: OUTSTD_LOGIC); END AND1; ARCHITECTURE a OF AND1 IS BEGIN out1 <= in1 and in2 END a;

Katholieke Hogeschool Kempen VHDL package 9 Voorbeeld met package.vhd file AND poort LIBRARY IEEE; USE IEEE.std_logic_1164.all; ENTITY AND1 IS PORT( in1, in2: INSTD_LOGIC; out1: OUTSTD_LOGIC); END AND1; ARCHITECTURE a OF AND1 IS BEGIN out1 <= in1 and in2 END a;

Katholieke Hogeschool Kempen VHDL package 10 Voorbeeld met package.vhd file package LIBRARY IEEE; USE IEEE.std_logic_1164.all; package test_package is component AND1 PORT( in1, in2: INSTD_LOGIC; out1: OUTSTD_LOGIC); end component; end test_package;

Katholieke Hogeschool Kempen VHDL package 11 Voorbeeld met package.vhd file top design LIBRARY IEEE; USE IEEE.std_logic_1164.all; Library work; use work.test_package.all; ENTITY warning1_package IS PORT( DOOR, IGNITION, SBELT: INSTD_LOGIC; WARNING1: OUTSTD_LOGIC); END warning1_package; ARCHITECTURE a OF warning1_package IS signal DOOR_NOT, SBELT_NOT, B1, B2: std_logic; BEGIN U2: AND1 port map (IGNITION, DOOR_NOT, B1); U3: AND1 port map (IGNITION, SBELT_NOT, B2); end a;

Katholieke Hogeschool Kempen VHDL package 12 Oefening dobbelsteen Zie site.