Analysis of Floorplanning Algorithm in EDA Tools

Slides:



Advertisements
Similar presentations
Analysis of Computer Algorithms
Advertisements

Floorplanning. Non-Slicing Floorplan Representation Rectangle-Packing-Based Module Placement, H. Murata, K. Fujiyoushi, S. Nakatake and Y. Kajitani, IEEE.
1 Copyright © 2013 Elsevier Inc. All rights reserved. Chapter 28.
Analysis of Algorithms
An Investigation on FPGA Placement Using Mixed Genetic Algorithm with Simulated Annealing Meng Yang Napier University Edinburgh, UK.
THERMAL-AWARE BUS-DRIVEN FLOORPLANNING PO-HSUN WU & TSUNG-YI HO Department of Computer Science and Information Engineering, National Cheng Kung University.
Mani Srivastava UCLA - EE Department Room: 6731-H Boelter Hall Tel: WWW: Copyright 2003.
13-Optimization Assoc.Prof.Dr. Ahmet Zafer Şenalp Mechanical Engineering Department Gebze Technical.
OCV-Aware Top-Level Clock Tree Optimization
An Effective Floorplanning Algorithm in Mixed Mode Placement Integrated with Rectilinear- Shaped Optimization for Soft Blocks Changqi Yang, Xianlong Hong,
Ch.7 Layout Design Standard Cell Design TAIST ICTES Program VLSI Design Methodology Hiroaki Kunieda Tokyo Institute of Technology.
1 Interconnect Layout Optimization by Simultaneous Steiner Tree Construction and Buffer Insertion Presented By Cesare Ferri Takumi Okamoto, Jason Kong.
Toward Better Wireload Models in the Presence of Obstacles* Chung-Kuan Cheng, Andrew B. Kahng, Bao Liu and Dirk Stroobandt† UC San Diego CSE Dept. †Ghent.
Routability-Driven Blockage-Aware Macro Placement Yi-Fang Chen, Chau-Chin Huang, Chien-Hsiung Chiou, Yao-Wen Chang, Chang-Jen Wang.
Fuzzy Simulated Evolution for Power and Performance of VLSI Placement Sadiq M. Sait Habib Youssef Junaid A. KhanAimane El-Maleh Department of Computer.
Fixed-outline Floorplanning Through Better Local Search
Placement 1 Outline Goal What is Placement? Why Placement?
Floorplanning Professor Lei He
ICS 252 Introduction to Computer Design Lecture 14 Winter 2004 Eli Bozorgzadeh Computer Science Department-UCI.
Fuzzy Simulated Evolution for Power and Performance of VLSI Placement Sadiq M. SaitHabib Youssef Junaid A. KhanAimane El-Maleh Department of Computer Engineering.
Merging Synthesis With Layout For Soc Design -- Research Status Jinian Bian and Hongxi Xue Dept. Of Computer Science and Technology, Tsinghua University,
Processing Rate Optimization by Sequential System Floorplanning Jia Wang 1, Ping-Chih Wu 2, and Hai Zhou 1 1 Electrical Engineering & Computer Science.
Fuzzy Evolutionary Algorithm for VLSI Placement Sadiq M. SaitHabib YoussefJunaid A. Khan Department of Computer Engineering King Fahd University of Petroleum.
1 CSC 6001 VLSI CAD (Physical Design) January
CSE 242A Integrated Circuit Layout Automation Lecture: Floorplanning Winter 2009 Chung-Kuan Cheng.
Congestion Estimation in Floorplanning Supervisor: Evangeline F. Y. YOUNG by Chiu Wing SHAM.
Floorplanning. Obtained by subdividing a given rectangle into smaller rectangles. Each smaller rectangle corresponds to a module.
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 3: Chip Planning © KLMH Lienig 1 Modern Floorplanning Based on B*-Tree and Fast.
Chip Planning 1. Introduction Chip Planning:  Deals with large modules with −known areas −fixed/changeable shapes −(possibly fixed locations for some.
1 ENTITY test is port a: in bit; end ENTITY test; DRC LVS ERC Circuit Design Functional Design and Logic Design Physical Design Physical Verification and.
8/15/ VLSI Physical Design Automation Prof. David Pan Office: ACES Lecture 8. Floorplanning (2)
Mixed Non-Rectangular Block Packing for Non-Manhattan Layout Architectures M. Wu, H. Chen and J. Jou Department of EE, NCTU HsinChu, Taiwan ISQED 2011.
Introduction to Routing. The Routing Problem Apply after placement Input: –Netlist –Timing budget for, typically, critical nets –Locations of blocks and.
Global Routing. Global routing:  To route all the nets, should consider capacities  Sequential −One net at a time  Concurrent −Order-independent 2.
Global Routing.
10/7/ VLSI Physical Design Automation Prof. David Pan Office: ACES Lecture 6. Floorplanning (1)
VLSI Backend CAD Konstantin Moiseev – Intel Corp. & Technion Shmuel Wimer – Bar Ilan Univ. & Technion.
Bus-Driven Floorplanning Hua Xiang*, Xiaoping Tang +, Martin D. F. Wong* * Univ. Of Illinois at Urbana-Champaign + Cadence Design Systems Inc.
Regularity-Constrained Floorplanning for Multi-Core Processors Xi Chen and Jiang Hu (Department of ECE Texas A&M University), Ning Xu (College of CST Wuhan.
Massachusetts Institute of Technology 1 L14 – Physical Design Spring 2007 Ajay Joshi.
Modern VLSI Design 2e: Chapter 7 Copyright  1998 Prentice Hall PTR Topics n Block placement. n Global routing. n Switchbox routing.
Placement. Physical Design Cycle Partitioning Placement/ Floorplanning Placement/ Floorplanning Routing Break the circuit up into smaller segments Place.
Deferred Decision Making Enabled Fixed- Outline Floorplanner Jackey Z. Yan and Chris Chu DAC 2008.
Non-Slicing Floorplanning Joanna Ho David Lee David Omoto.
A Memetic Algorithm for VLSI Floorplanning Maolin Tang, Member, IEEE, and Xin Yao, Fellow, IEEE IEEE TRANSACTIONS ON SYSTEMS, MAN, AND CYBERNETICS—PART.
Fishbone: A Block-Level Placement and Routing Scheme Fan Mo and Robert K. Brayton EECS, UC Berkeley.
Exact and heuristics algorithms
Rectlinear Block Packing Using the O-tree Representation Yingxin Pang Koen Lampaert Mindspeed Technologies Chung-Kuan Cheng University of California, San.
CSE 589 Part VI. Reading Skiena, Sections 5.5 and 6.8 CLR, chapter 37.
A Stable Fixed-outline Floorplanning Method Song Chen and Takeshi Yoshimura Graduate School of IPS, Waseda University March, 2007.
1 A Min-Cost Flow Based Detailed Router for FPGAs Seokjin Lee *, Yongseok Cheon *, D. F. Wong + * The University of Texas at Austin + University of Illinois.
CHAPTER 8 Developing Hard Macros The topics are: Overview Hard macro design issues Hard macro design process Physical design for hard macros Block integration.
Routability-driven Floorplanning With Buffer Planning Chiu Wing Sham Evangeline F. Y. Young Department of Computer Science & Engineering The Chinese University.
By P.-H. Lin, H. Zhang, M.D.F. Wong, and Y.-W. Chang Presented by Lin Liu, Michigan Tech Based on “Thermal-Driven Analog Placement Considering Device Matching”
1 Twin Binary Sequences: A Non-Redundant Representation for General Non-Slicing Floorplan Evan Young Department of Computer Science and Engineering The.
Block Packing: From Puzzle-Solving to Chip Design
System in Package and Chip-Package-Board Co-Design
Search in State Spaces Problem solving as search Search consists of –state space –operators –start state –goal states A Search Tree is an efficient way.
VLSI Floorplanning and Planar Graphs prepared and Instructed by Shmuel Wimer Eng. Faculty, Bar-Ilan University July 2015VLSI Floor Planning and Planar.
1 Floorplanning of Pipelined Array (FoPA) Modules using Sequence Pairs Matt Moe Herman Schmit.
The Early Days of Automatic Floorplan Design
A Snap-On Placement Tool Israel Waldman. Introduction.
VLSI Physical Design Automation
Partial Reconfigurable Designs
VLSI Physical Design Automation
ECE 556 Project Presentation
Sequence Pair Representation
Sheqin Dong, Song Chen, Xianlong Hong EDA Lab., Tsinghua Univ. Beijing
Topics Logic synthesis. Placement and routing..
Floorplanning (Adapted from Prof. E. Young’s and Prof. Y
Presentation transcript:

Analysis of Floorplanning Algorithm in EDA Tools By: RENISHKUMAR V. LADANI M.TECH-2003, DA-IICT GANDHINAGAR GUIDE: PROF. ASHOK AMIN CO-GUIDE: PROF. AMIT BHATT  

Floorplanning in context of VLSI physical Design Bottom-up methodology Top-down methedology:FLOORPLAN-BASED DESIGN METHODOLOGY

Floorplanning in context of VLSI physical Design Bottom-up methodology May leads to poor utilization of the chip area and excessive wiring Top-down methedology:FLOORPLAN-BASED DESIGN METHODOLOGY It advocates that layout aspects should be taken into account in all design stages. Advantege: Gives early feed back Suggests valuable architectural modifications Estimates the whole chip area Estimates wire length Estimates delay and congestion due to wiring

Floorplan Example

Floorplanning Problem Input to the floorplanning problem: A set of blocks, hard of soft Pin locations of hard blocks A netlist (interconnect pattern) Output expected from the floorplanning problem: Shapes of soft blocks Position of each blocks in final layout Objectives: Minimize area Reduce wirelengths Maximize routability (minimize congestion) Delay of critical path Noise, heat dissipation, etc.

Wire length Estimation The Cost Function Cost = *Atot + *Wtot Where, Atot = Total area of the packing. Wtot = Total wire length of packing.  and  = User specified constant. Wire length Estimation Exact wire length of each net is not known until routing is done and also pin positions are not known yet for soft blocks Two ways of wire length estimation center-to-center estimation half-perimeter estimation

Some Constraints in Floorplanning Preplaced constraint Boundary constraint Range constraint Note that in floorplanning some times L-shaped, U-shaped blocks are being considered in addition to rectangular blocks. Floorplan Sizing: A optimization problem in Floorplanning The availability of flexible blocks implies the possibility of having different shapes for the same hardware units. Its therefore possible to choose a suitable shape for each flexible blocks such that the resulting floorplan is optimal in some sense (e.g. minimal area).

Floorplanning Concepts and Approaches to Problem The floorplan problem is known to be NP-complete Various heuristic approaches Simulated Annealing (SA) Genetic Algorithm (GA) Hybrid approach(SAGA: simulated annealing and genetic algorithm) These algorithms depend on representation of feasible solution space Classification of representation: Slicing floorplan representation Non-slicing floorplan representation

Slicing Structure Rectangular Dissection: Subdivision of a given rectangle by a finite # of horizontal and vertical line segments into a finite # of non-overlapping rectangles. Slicing structure: A rectangular dissection that can be obtained by repetitively subdividing rectangles horizontally or vertically. Slicing tree: A binary tree, where each internal node represents a vertical cut line or horizontal cut line, and each leaf a basic rectangle. Polish expression: Expression obtained Post order traversal of slicing tree. (16+35*2+74+**) Wong and Liu proposed an algorithm based on simulated annealing for slicing floorplan designs using a normalized polish expression(extension of polish expression) to represent a slicing structure.

Non-Slicing Structure Not all floorplans are slicing If the basic rectangles corresponding to leaf nodes in slicing structures can not be obtained by recursive cutting rectangles into smaller rectangles then the floorplan has non-slicing structure Non-Slicing Floorplan Representation Sequence Pair(SP) Bounded Slicing Grid (BSG) O-tree Transitive Closure Graph (TCG) Corner Block List (CBL) B* Trees Generalized Polish Expression(GPE)

Simulated annealing Well-known high performance optimization technique for combinatorial problems 01 Temperature = Intial Temperature; 02 Current placement = Random initial placement; 03 Current score = Score( Current placement); 04 While equilibrium at temperature not reached Do 05 Selected component = Select (at random); 06 Trail placement = Move (selected component); 07 Trail score = Score (trail placement); 08 If trail score < current score then 09 Current score = trial score; 10 Current placement = trail placement; 11 else 12 if uniform random(0,1) < e-(trail score – current score)/temperature then 13 Current score = trial score; 14 Current placement = trail placement; 15 temperature = temperature * Alpha; // alpha ~ 0.95

Comparisons between slicing and non-slicing approach Slicing representation Advantages: Smaller encoding cost and solution space bringing faster runtime for packing Flexible to deal with hard, preplaced, soft and rectilinear blocks Disadvantages: Optimal solution might not be in the solution space of slicing structure Non-slicing representation Optimal solution might be achieved. Needs more evaluating runtime for packing

State-of-art in floorplan representations   SP Fast-SP BSG O-tree B*-tree CBL TCG GPE Is P-admissible? Yes No Need sequence encoding? Constraint graphs for packing? Solution space size n!2 2n(n+2) O(n!22n-2 /n1.5) O(n!23n-3 /n1.5) O(n!23n-3 /n1.5) N!2 - Runtime for packing O(n2) O(n lg n lg n) O(n) Number of bits to describe floorplan 2n[lg n] 2n[lg n] 2n+n[lg n] 6n+n[lg n] 3n+n[lg n] Table 1: Properties of representations. Here, n is the number of modules in the placement.

State-of-art in floorplan representations Table 2: Comparisons for runtime and area requirements among O-TREE, B*-TREE, CBL, SP and TCG based on genetic and simulated annealing algorithms. (NA: NOT AVAILABLE) It is important to note that GPE achieves area utilization compared to previous Fast-SP and Enhance O-tree.

GPE: Generalized Polish Expression New and easy representation for VLSI floorplan Effectively inherits the useful property of Normalized Polish Expression for slicing structure Present non-slicing floorplan The time complexity to transform a GPE to a corresponding placement is O(n).

Future planning Note sizing means handling soft(flexible) blocks while floorplanning. Floorplan sizing can be done optimally and efficiently for slicing floorplans “Shape Curve Computation” used for sizing flexible blocks Sizing algorithm runs in polynomial time Floorplan sizing can be done optimally but not efficiently for some slicing non-slicing floorplans, which are using Constraints Graph for packing such as SP, Fast-SP, O-tree and B-tree. GPE a new representation for non-slicing floorplans, which has achieved promising result in area utilization as compared to Fast-SP and O-tree. Since its inherits properties from polish expression for which sizing (shaping for soft blocks) can be done in polynomial time, it raises hopes that with GPE sizing can be done in less time then timing required by sizing for Non slicing floorplans. Study for handling soft(flexible) blocks has been not carried out.

Thanks Question and Answer