陳慶瀚 機器智慧與自動化技術 (MIAT) 實驗室 國立中央大學資工系 2009 年 10 月 15 日 ESD-05 Grafcet-to-VHDL 硬體合成 Grafcet-to-VHDL Hardware Synthesis.

Slides:



Advertisements
Similar presentations
MC542 Organização de Computadores Teoria e Prática
Advertisements

DISEÑO LÓGICO (DLO) Ejemplos de VHDL.
06/10/071 Security System Using VHDL. 06/10/072 Project Members Amal Shanavas Aneez I Ijas Rahim Renjith S Menon Sajid S Chavady.
UNIT 8: Synthesis Basics
arquitectura – implementação
VHDL Introdução Paulo C. Centoducatte fevereiro de 2005
VHDL Coding Style MO801/MC912.
ASIC 121: Practical VHDL Digital Design for FPGAs Tutorial 2 October 4, 2006.
Adders and Subtractors
©2004 Brooks/Cole FIGURES FOR CHAPTER 20 VHDL FOR DIGITAL SYSTEM DESIGN Click the mouse to move to the next page. Use the ESC key to exit this chapter.
Introduction To VHDL for Combinational Logic
VHDL範例 真值表 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; ENTITY true IS
Fundamental Concepts 大同大學 資訊工程系 副教授
Lecture #28 Page 1 ECE 4110– Sequential Logic Design Lecture #28 Agenda 1.Counters Announcements 1.HW #13 assigned 2.Next: Test #2 Review.
Digital Logic with VHDL EE 230 Digital Systems Fall 2006 (10/17/2006)
國立台灣大學 資訊工程學系 Chapter 4: Threads. 資工系網媒所 NEWS 實驗室 Objectives To introduce the notion of a thread — a fundamental unit of CPU utilization that forms the.
EBI European Bioinformatics Institute. EBI The European Bioinformatics Institute (EBI) part of EMBL is a centre for research and services in bioinformatics.
楊竹星 國立成功大學電機工程系 98學年第一學期
元智大學資訊工程系 概念性產品競賽 All-in one 指導教授: 蔡侑庭 實習學生: 陸昂 李其穎 實習單位: 鴻海精密工業.
1. 議程表 項次議題主講人 1 主席致詞周副校長義昌 2 E 化教學教室工作坊 推動計畫說明 白主任敏賢 3 E 化教學教室功能面說明 多媒體課程教案應用 多媒體多資源應用場景展現 陳組長昭宏 4 各院、系所意見交流 白主任敏賢 陳組長昭宏 2.
Introduction 國立清華大學資訊工程學系 CS1356 資訊工程導論 2015/6/10.
1 中央資管 ── 范錚強 On SCM: Supply Chain Management 供應鏈管理的一些概念 國立中央大學. 資訊管理系 范錚強 mailto: updated.
1 課 程 : 數位相機技術 國立虎尾科技大學光電系 謝振榆 2012/2/21. 2 中部為何是光學鏡片業轉型發光 1. 地理: 歸功 :台灣佳能 保勝光學 → 潭子加工區 2. 人才: 亞光:黃明遠 → 台灣佳能 梁金章 → 台灣佳能 今國光:陳慶棋 → 台灣佳能 大立光:林耀英 → 保勝光學.
國立成功大學性別與婦女研究中心: 回顧與展望 劉開鈴主任 2007 年 8 月 6 日. 跨領域研究,跨科際整合 成大研究型大學.
高分子材料實驗室 程耀毅 博士 學歷:美國麻省理工學院 經歷:台灣積體電路公司薄膜研究副理 現任:分子系 高分子所 副教授
21 st 世紀通識教育 賴 明 詔 2008/05/10. 環境變化與能力需求 1. 資訊爆炸,新領域出現頻繁 2. 壽命延長,須自我學習新知 3. 變化迅速,一生時常換工作 4. 世界交流,國際間活動增加 5. 競爭激烈,探索與關懷生命 1. 人文素養與專業技能 2. 融會貫通與創意 3. 領導能力.
Summer Research In Institute of Botany, Academia Sinica - R425 陳慧宇
智慧藏科技知識庫使 用說明 呂明欣 國立政治大學資訊科學系機器智能實驗室 語言教學研究中心 2006/12/5.
FGU LDT. FGU EIS 96 ‧ 8 ‧ 25 FGU LDT 佛光大學學習與數位科技學系.
第5章 VHDL Package 義守大學電機工程學系 陳慶瀚
行政院國家科學委員會工程技術發展處自動化學門 * 試以國立成功大學製造工程研究所 鄭芳田教授 產學合作計畫 : 智慧預測保養系統之設計與實作 成果報告盤點為範例 國科會工程處專題計畫成果典藏 自動化學門成果報告盤點範例.
國立高雄大學生命科學系 學系介紹. 設 系 目 標設 系 目 標設 系 目 標設 系 目 標 ‧配合南部生物技術產業發展 ‧培育兼具基礎與應用生物科技人才 ‧配合高等研究設計相關學群 Copyright© 2004 Department of Life Science, N.U.K.
教材名稱:網際網路安全之技術及其應用 (編號: 41 ) 計畫主持人:胡毓忠 副教授 聯絡電話: 教材網址: 執行單位: 政治大學資訊科學系.
電機資訊學院 義守大學. 院長 學歷: 1976 年 美國南加州大學電機工程博士 1971 年 美國 St. Louis 的華盛頓大學電機工程碩士 1966 年 台灣國立成功大學電機工程系學士 經歷: 1997/8 迄今-義守大學電機資訊學院講座教授兼 院長 1999 迄今-中山大學資工系兼任教授.
B. I. T. The Way Forward 李允中 教授 國立中央大學 資訊工程學系 1. ©2011 Jonathan Lee, National Central University. A Brief Bio of Jonathan Lee 學 歷: Texas A&M University,
數位家庭教學推動聯盟中心 數位家庭軟體工程 第一年教材編列進度報告 國立台灣海洋大學資訊工程學系 梁德容.
報告人:陳錦生 校長 日 期: 99 年 10 月 21 日. 自我評鑑報告內容簡介 校務評鑑五大項目 自我評鑑過程 簡述自我評鑑過程 學校現況 校地、教職員生數、圖書冊數、院系所學位學程.
-95 學年度傑出校友 藝能體育類 陳筠安 兒童與家庭學系推薦. 學歷 輔仁大學家政系畢 (1983 年 ) 台東大學兒童文學研究所碩 士.
複雜 Complexity 陳慶瀚 機器智慧與自動化技術 (MIAT) 實驗室 2005 年 10 月 11 日 產業研發碩士專班課程 當代系統科學思想.
職涯進路圖 國立台灣科技大學 電子工程學系.
國立聯合大學 電機工程學系專題製作競賽 ( 應用物理組 ) 主講人:林家賢 組員:林俊宏 黃建彰 中華民國九十六年五月十二日.
全國奈米科技人才培育推動計畫辦公室 中北區奈米科技K -12 教育發展中心計畫 簡 報 報告人:楊鏡堂教授 計畫執行單位:國立清華大學動力機械工程學系 計畫種子學校:教育部顧問室 94 年度奈米科技人才培育先導型計畫年度成果報告 中華民國九十四年十月十四日.
數位系統實驗 -- 課程介紹 教師:潘欣泰. 課程目標  數位系統導論課程之實習課程  接續數位電路學課程  養成學生動手做的習慣  使學生對數位電路設計有實際的體驗.
序號 3. 王秩秋 校友獎項分類:學術卓越類 畢業系別:物理學系 入學年度: 1969 年 推薦單位:物理系.
中壢家商網路現況與經驗分享 報告人:葉建麟 日 期: 時間歷 程備 註 43 年奉准試辦一年招考新生三班 44 年正式成立考核通過 47 年成立高級部 49 年改為完全家事職業學校 57 年奉令改制為省立中壢高級家事職業學校 59 年 奉令改制為省立中壢高級家事商業職業 學校 初級部結束.
年圖書館營運績效報告 國立虎尾科技大學圖書館報告人:王威立館長.
National Tsing Hua University Electronic Packaging/CAE Labs. National Tsing Hua University Electronic Packaging/CAE Labs. National Tsing Hua University.
Copyright © 2010, SLA-aware load balancing for cloud datacenters 指導教授:王國禎 學生:黎中誠 國立交通大學資訊工程系 行動計算與寬頻網路實驗室.
郭 茂 坤 國立台灣大學 應用力學所 Tel: 芬蘭居家照護科技研究之簡介 郭 茂 坤 國立台灣大學 應用力學所 Tel: 謝謝江教授的介紹.
資訊技術實驗室 ITLAB. Download 2009/3/52 Visual studio c 安裝教學.
Real-Time Embedded Software Synthesis 即時嵌入式軟體合成 熊博安國立中正大學資訊工程學系民國九十年十一月廿九日.
Chapter 0: Introduction of this course Ching Yuan Su.
區域網路系統 明新技術學院資訊管理學系專任助理教授 工研院電通所無線通訊技術組顧問 清華大學資訊工程學系博士 林文宗博士 or
2010/09/141 計算機概論計算機概論 國立成功大學化工系凌漢辰副教授 93C12 研究室 Tel: Ext (O)
Electronic Packaging/CAE Labs National Tsing Hua University. Electronic Packaging/CAE Labs National Tsing Hua University. Electronic Packaging/CAE Labs.
Intelligent Vehicle & Mechatronics Laboratory 智慧型載具與機電整合實驗室 Li, Kang ( 李綱 ) Dept. of Mechanical Engineering National Taiwan University.
模糊模型規則庫自動建立之演算法 An improved approach to automatically build fuzzy model rules 王乃堅 (Nai-Jian Wang) 台灣科技大學電機系 中華民國九十年十月二十日 地點:政大經濟系.
分子材料與生化工程實驗室 指導老師 余琬琴 教授 Molecular Material & Biochemical Engineering Laboratory.
陳慶瀚 國立中央大學資工系 2014 年 4 月 16 日 A2 VHDL Combinational Logic Design.
Sequential Logic Design by VHDL
Intelligent Space 國立台灣大學資訊工程研究所 智慧型空間實驗室 Brainstorming Principles Reporter Chun-Feng Liao Sep 12,2005 Source D.Bellin and S.S.Simone, ”Brainstorming: A.
WU-YANG Technology Co., Ltd. SIOC 實驗6:DMA 黃彥翔/陳慶瀚 MIAT實驗室.
1 An LSB Substitution base Information Hiding Technique 國立彰化師範大學 資訊工程學系教授兼系主任 蕭如淵 (Ju-Yuan Hsiao) 中華民國九十四年十二月十六日.
Copyright © 2010, Install OpenFlow Mininet 指導教授:王國禎 學生:洪維藩 國立交通大學資訊科學與工程研究所 行動計算與寬頻網路實驗室.
Color TFT-LCD Controller NSYSU CSE Student: Wei-Yang Tsang Advisor: Shiann-Rong Kuang.
A CMOS Biosensor System-on-Chip for Mobile Applications
基於邊緣吻合向量量化編碼 法之資訊隱藏 張 真 誠 逢甲大學 講座教授 中正大學 榮譽教授、合聘教授 清華大學 合聘教授
第7章 VHDL OBJECTS: CONSTANTS, VARIABLES, AND SIGNALS
國立臺灣海洋大學河海工程研究所結構組 碩士班畢業論文口試
Edit Distance 張智星 (Roger Jang)
Presentation transcript:

陳慶瀚 機器智慧與自動化技術 (MIAT) 實驗室 國立中央大學資工系 2009 年 10 月 15 日 ESD-05 Grafcet-to-VHDL 硬體合成 Grafcet-to-VHDL Hardware Synthesis

VHDL template

VHDL synthesis of Grafcet Fundamental Block

Convergence AND VHDL synthesis of Grafcet

Divergence AND VHDL synthesis of Grafcet

Convergence OR VHDL synthesis of Grafcet

Divergence OR VHDL synthesis of Grafcet

Complete Synthesis of Grafcet Model

Synthesis of Grafcet Controller

VHDL code of Grafcet Controller

VHDL synthesis of Grafcet Controller

library ieee; use IEEE.STD_LOGIC_1164.all; entity g0 is port( clk : in std_logic; rst : in std_logic; OK : in std_logic; L1,L2 : in std_logic; V1,V2 : out std_logic; Start_M : out std_logic; Stop_M : out std_logic ); end g0; architecture miat of g0 is signal s0,s1,s2,s3,s4 : std_logic; begin process(clk,rst) begin if rst='0' then s0<='1'; s1<='0'; s2<='0'; s3<='0'; s4<='0'; elsif clk'event and clk='1' then if s0='1' and OK='1' then s0<='0'; s1<='1'; elsif s1='1' and L1='1' then s1<=‘0’; s2<=‘1’; s3<=‘1’; elsif s2='1' and s3='1' and L2='1' then s2<=‘0’; s3<=‘0’; s4<=‘1’; elsif s4='1' then s4<=‘0’; s0<=‘1’; end if; end process; V1<=s1; Start_M<=s2; V2<=s3; Stop_M<=s4; END miat;

Synthesized Circuit Block Diagram

Simulated Timing Diagram

Synthesis of Microprogram Controller Microprogram controller is control unit is responsible for coordinating actions within the CPU. The control unit decodes the instruction and issue a set of control signals that result in the instruction’s execution. These control signals cause data to be routed correctly within the CPU, generate correct external control signals, such as RD and WR in the 8085, and cause the ALU to perform the correct operation on the data.

Conventional Architecture Design

New Approach for Microprogram Controller Design 1.Instruction Design 2.Behavioral Description 3.GRAFCET Modeling 4.High-Level Synthesis GRAFCET Controller Synthesis Datapath Synthesis System Synthesis

Hardware Architecture Template

Instruction Design F3f2f1f0 指令 Operand 功能說明 0000 LD(addr) 從 RAM 的 addr 位址載入資料到 ACC 0001 AND(addr) 從 RAM 的 addr 位址載入資料與 ACC 做 AND 運算, 結果放在 ACC 0010 OR(addr) 從 RAM 的 addr 位址載入資料與 ACC 做 OR 運算, 結果放在 ACC 0011 ANDC(addr) 從 RAM 的 addr 位址載入資料,將之反相並與 ACC 做 AND 運算,結果放在 ACC 0100 STOR E (addr) 將 ACC 資料存放 0101 JMP[addr] 程式無條件跳躍 ( 改變程式計數器 (PC) 值為 addr) 0110 JMPA[addr] 如果 ACC 為 1 ,程式跳躍 ( 改變程式計數器 (PC) 值 為 addr) 0111 STOP[0] 結束

GRAFCET Modeling

VHDL Synthesis of Grafcet Controller grafcet:PROCESS(CLK,RST) BEGIN IF RST='1' THEN X0<='1';X1<='0';X2<='0';X3<='0'; X4<='0';X5<='0';X6<='0';X7<='0';X8<='0';X9<='0';X10<='0'; ELSIF CLK'EVENT AND CLK='1' THEN IF X0='1'THEN X0<='0'; X1<='1'; ELSIF X1='1'THEN IF OP="000" THEN X1<='0'; X2<='1'; ELSIF OP="001" THEN X1<='0'; X3<='1'; ELSIF OP="010" THEN X1<='0'; X4<='1'; ELSIF OP="011" THEN X1<='0'; X5<='1'; ELSIF OP="100" THEN X1<='0'; X6<='1'; ELSIF OP="101" THEN X1<='0'; X7<='1'; ELSIF OP="110" THEN X1<='0'; X8<='1'; ELSIF OP="111" THEN X1<='0'; X9<='1'; END IF; ELSIF X2='1' THEN X2<='0';X10<='1'; ELSIF X3='1' THEN X3<='0';X10<='1'; ELSIF X4='1' THEN X4<='0';X10<='1'; ELSIF X5='1' THEN X5<='0';X10<='1'; ELSIF X6='1' THEN X6<='0';X1<='1'; ELSIF X7='1' THEN X7<='0';X1<='1'; ELSIF X8='1' THEN X8<='0';X1<='1'; ELSIF X9='1' THEN X9<='0';X0<='1'; ELSIF X10='1' THEN X10<='0';X1<='1'; END IF; END PROCESS grafcet;

Synthesis of Datapath Module datapath:PROCESS(CLK,RST) BEGIN IF X0='1'THEN ACC<='0';PC<=0;RAM<=" "; ELSIF X1='1'THEN OP<="000";ADDR<=0; ELSIF X2='1'THEN ACC<=RAM(ADDR); ELSIF X3='1'THEN ACC<=ACC AND RAM(ADDR); ELSIF X4='1'THEN ACC<=ACC OR RAM(ADDR); ELSIF X5='1'THEN ACC<=NOT(RAM(ADDR)); ELSIF X6='1'THEN RAM(ADDR)<=ACC; ELSIF X7='1'THEN PC<=ADDR; ELSIF X8='1'THEN IF ACC='1' THEN PC<=ADDR; END IF; ELSIF X9='1'THEN PC<=0; ELSIF X10='1'THEN PC<=PC+1; END IF; END PROCESS datapath; OUTPUT<=ACC; END arch;

Complete Architecture Synthesis architecture arch of microp2 is SIGNALX0,X1,X2,X3,X4,X5,X6,X7,X8,X9,X10:STD_LOGIC; SIGNAL ACC : STD_LOGIC; SIGNAL RAM : STD_LOGIC_VECTOR(7 downto 0); SIGNAL PC : INTEGER RANGE 0 TO 15; SIGNAL ADDR : INTEGER RANGE 0 TO 7; SIGNALOP : STD_LOGIC_VECTOR(2 downto 0); BEGIN grafcet:PROCESS(CLK,RST)... datapath:PROCESS(CLK,RST)... OUTPUT<=ACC; END arch;