Sequential Design ELEC 311 Digital Logic and Circuits Dr. Ron Hayne

Slides:



Advertisements
Similar presentations
VHDL 5 FINITE STATE MACHINES (FSM) Some pictures are obtained from FPGA Express VHDL Reference Manual, it is accessible from the machines in the lab at.
Advertisements

Tutorial 2 Sequential Logic. Registers A register is basically a D Flip-Flop A D Flip Flop has 3 basic ports. D, Q, and Clock.
ASIC 121: Practical VHDL Digital Design for FPGAs Tutorial 2 October 4, 2006.
D Flip-Flop.
VHDL in digital circuit synthesis (tutorial) dr inż. Miron Kłosowski EA 309
Counters Discussion D8.3.
VHDL Lecture 1 Megan Peck EECS 443 Spring 08.
Synchronous Counters with SSI Gates
Step 1: State Diagram.
Sequential Circuits Storage elements
©2004 Brooks/Cole FIGURES FOR CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC Click the mouse to move to the next page. Use the ESC key to exit this chapter. This.
Introduction To VHDL for Combinational Logic
INTRO TO VHDL Appendix A: page page VHDL is an IEEE and ANSI standard. VHDL stands for Very High Speed IC hardware description language.
Lecture #28 Page 1 ECE 4110– Sequential Logic Design Lecture #28 Agenda 1.Counters Announcements 1.HW #13 assigned 2.Next: Test #2 Review.
Digital Logic with VHDL EE 230 Digital Systems Fall 2006 (10/17/2006)
1 EE24C Digital Electronics Project Theory: Sequential Logic (part 2)
Floating-Point Arithmetic ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Thomson Engineering.
VHDL Structural Architecture ENG241 Week #5 1. Fall 2012ENG241/Digital Design2 VHDL Design Styles Components and interconnects structural VHDL Design.
1 VLSI DESIGN USING VHDL Part II A workshop by Dr. Junaid Ahmed Zubairi.
VHDL ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Thomson Engineering.
Registers VHDL Tutorial R. E. Haskell and D. M. Hanna T2: Sequential Logic Circuits.
Simple Sequential Circuits in VHDL. Contents Sequential circuit examples: - SR latch in dataflow style - D flip-flop in behavioral style - shift register.
6/12/20151 Sequence Detectors Lecture Notes – Lab 4 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
Counters Discussion D5.3 Example 33. Counters 3-Bit, Divide-by-8 Counter 3-Bit Behavioral Counter in Verilog Modulo-5 Counter An N-Bit Counter.
CS 140L Lecture 4 Professor CK Cheng 10/22/02. 1)F-F 2)Shift register 3)Counter (Asynchronous) 4)Counter (Synchronous)
Introduction to Counter in VHDL
Latches and Flip-Flops ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
Designing with FPGAs ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Thomson Engineering.
UART ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Thomson Engineering.
Chapter 10 State Machine Design. 2 State Machine Definitions State Machine: A synchronous sequential circuit consisting of a sequential logic section.
Sequential Arithmetic ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
ENG2410 Digital Design LAB #6 LAB #6 Sequential Logic Design (Flip Flops)
ENG241 Digital Design Week #8 Registers and Counters.
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
Analysis and Synthesis of Synchronous Sequential Circuits A “synchronizing” pulse/edge signal (clock) controls the operation of the memory portion of the.
VHDL for Sequential Logic
ENG241 Digital Design Week #7 Sequential Circuits (Part B)
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
Introduction ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Thomson Engineering.
Counters and registers Eng.Maha Alqubali. Registers Registers are groups of flip-flops, where each flip- flop is capable of storing one bit of information.
FIGURES FOR CHAPTER 16 SEQUENTIAL CIRCUIT DESIGN
CHAPTER 16 SEQUENTIAL CIRCUIT DESIGN
Combinational logic circuit
LAB #6 Sequential Logic Design (Flip Flops, Shift Registers)
Registers and Counters
Introduction Introduction to VHDL Entities Signals Data & Scalar Types
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
Part IV: VHDL CODING.
Part III A workshop by Dr. Junaid Ahmed Zubairi
Sequential Logic Counters and Registers
FIGURE 5.1 Block diagram of sequential circuit
Sequential Circuit: Counter
CSCE 211: Digital Logic Design
D Flip-Flop.
CSCE 211: Digital Logic Design
CSCE 211: Digital Logic Design
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
CSCE 211: Digital Logic Design
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
Digital Logic & Design Dr. Waseem Ikram Lecture No. 31.
MTE 202, Summer 2016 Digital Circuits Dr.-Ing. Saleh Hussin
Lecture No. 32 Sequential Logic.
Figure 8.1. The general form of a sequential circuit.
Digital Logic & Design Dr. Waseem Ikram Lecture No. 36.
CSCE 211: Digital Logic Design
Sequntial-Circuit Building Blocks
System Controller Approach
(Sequential-Circuit Building Blocks)
Presentation transcript:

Sequential Design ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning ELEC 311

Sequential Circuits 311_16 ELEC 311

Design Example 2-bit Variable Counter X1 X0 Function Ex. Sequence (Z1 Z0) Down 00, 11, 10, 01, 00, … 1 Up 00, 01, 10, 11, 00, … Down, Even 00, 10, 00, 10, 00, … Up, Odd 01, 11, 01, 11, 01, … State Assignment: Q1 Q0 = Z1 Z0 311_16 ELEC 311

Transition Table (1) Inputs PS NS mt X1 X0 Q1 Q0 Q1+ Q0+ 1 2 3 4 5 6 7 1 2 3 4 5 6 7 311_16 ELEC 311

Transition Table (1) Inputs PS NS mt X1 X0 Q1 Q0 Q1+ Q0+ 1 2 3 4 5 6 7 1 2 3 4 5 6 7 311_16 ELEC 311

Transition Table (1) Inputs PS NS mt X1 X0 Q1 Q0 Q1+ Q0+ 1 2 3 4 5 6 7 1 2 3 4 5 6 7 311_16 ELEC 311

Transition Table (2) Inputs PS NS mt X1 X0 Q1 Q0 Q1+ Q0+ 8 1 9 10 11 9 10 11 12 13 14 15 311_16 ELEC 311

Transition Table (2) Inputs PS NS mt X1 X0 Q1 Q0 Q1+ Q0+ 8 1 9 10 11 9 10 11 12 13 14 15 311_16 ELEC 311

Transition Table (2) Inputs PS NS mt X1 X0 Q1 Q0 Q1+ Q0+ 8 1 9 10 11 9 10 11 12 13 14 15 311_16 ELEC 311

Next State (FF) Equations D1(X1,X0,Q1,Q0) = Q1+ = Σm(0,3,5,6,8,11,13,14) D0(X1,X0,Q1,Q0) = Q0+ = Σm(0,2,4,6,12,13,14,15) D1 = (X0′·Q1′·Q0′) + (X0·Q1′·Q0) + (X0′·Q1·Q0) + (X0·Q1·Q0′) D0 = (X1′·Q0′) + (X1·X0) 311_16 ELEC 311

Xilinx CPLD Implementation 311_16 ELEC 311

Xilinx FPGA Implementation 311_16 ELEC 311

UNISIM Library Components FDC D Flip-Flop with Asynchronous Clear component FDC port (Q : out STD_LOGIC; C : in STD_LOGIC; CLR : in STD_LOGIC; D : in STD_LOGIC); end component; 311_16 ELEC 311

VHDL Package project4_pkg.vhd Clock Divider component CLK_DIV port(CLK_IN : in std_logic; CLK_OUT : out std_logic ); end component; 311_16 ELEC 311

VHDL Model library UNISIM; use UNISIM.VComponents.all; use work.Project4_Pkg.all; entity Counter is Port ( X : in std_logic_vector(1 downto 0); CLR : in std_logic; CLK : in std_logic; Z : out std_logic_vector(1 downto 0)); end Counter; architecture DATAFLOW of Counter is signal D : std_logic_vector(1 downto 0); signal Q : std_logic_vector(1 downto 0); signal SLOW_CLK : std_logic; 311_16 ELEC 311

VHDL Model begin D(1) <= (not X(0) and not Q(1) and not Q(0)) or (X(0) and not Q(1) and Q(0)) or (not X(0) and Q(1) and Q(0)) or (X(0) and Q(1) and not Q(0)); D(0) <= (not X(1) and not Q(0)) or (X(1) and X(0)); CDIV : CLK_DIV port map (CLK, SLOW_CLK); FF1 : FDC port map(Q(1), SLOW_CLK, CLR, D(1)); FF0 : FDC port map(Q(0), SLOW_CLK, CLR, D(0)); Z <= Q; end DATAFLOW; 311_16 ELEC 311

Summary Design Example Functional Description Transition Table Next State Equations FPGA Implementation VHDL Model 311_16 ELEC 311