CSE 502: Computer Architecture

Slides:



Advertisements
Similar presentations
Cache Coherence. Memory Consistency in SMPs Suppose CPU-1 updates A to 200. write-back: memory and cache-2 have stale values write-through: cache-2 has.
Advertisements

Symmetric Multiprocessors: Synchronization and Sequential Consistency.
1 Lecture 20: Synchronization & Consistency Topics: synchronization, consistency models (Sections )
The University of Adelaide, School of Computer Science
Synchronization. How to synchronize processes? – Need to protect access to shared data to avoid problems like race conditions – Typical example: Updating.
Lecture 7. Multiprocessor and Memory Coherence
SE-292 High Performance Computing
ECE 552 / CPS 550 Advanced Computer Architecture I Lecture 18 Multiprocessors Benjamin Lee Electrical and Computer Engineering Duke University
Cache Optimization Summary
Multiple Processor Systems
Cache Coherent Distributed Shared Memory. Motivations Small processor count –SMP machines –Single shared memory with multiple processors interconnected.
1 Lecture 12: Hardware/Software Trade-Offs Topics: COMA, Software Virtual Memory.
1 Multiprocessors. 2 Idea: create powerful computers by connecting many smaller ones good news: works for timesharing (better than supercomputer) bad.
Computer Architecture 2011 – coherency & consistency (lec 7) 1 Computer Architecture Memory Coherency & Consistency By Dan Tsafrir, 11/4/2011 Presentation.
CS252/Patterson Lec /23/01 CS213 Parallel Processing Architecture Lecture 7: Multiprocessor Cache Coherency Problem.
CPE 731 Advanced Computer Architecture Snooping Cache Multiprocessors Dr. Gheith Abandah Adapted from the slides of Prof. David Patterson, University of.
CS252/Patterson Lec /28/01 CS 213 Lecture 10: Multiprocessor 3: Directory Organization.
ECE669 L17: Memory Systems April 1, 2004 ECE 669 Parallel Computer Architecture Lecture 17 Memory Systems.
1 Lecture 20: Protocols and Synchronization Topics: distributed shared-memory multiprocessors, synchronization (Sections )
Lecture 37: Chapter 7: Multiprocessors Today’s topic –Introduction to multiprocessors –Parallelism in software –Memory organization –Cache coherence 1.
1 Shared-memory Architectures Adapted from a lecture by Ian Watson, University of Machester.
Multiprocessor Cache Coherency
Memory Consistency Models Some material borrowed from Sarita Adve’s (UIUC) tutorial on memory consistency models.
©RG:E0243:L2- Parallel Architecture 1 E0-243: Computer Architecture L2 – Parallel Architecture.
Shared Address Space Computing: Hardware Issues Alistair Rendell See Chapter 2 of Lin and Synder, Chapter 2 of Grama, Gupta, Karypis and Kumar, and also.
Computer Architecture 2015 – Cache Coherency & Consistency 1 Computer Architecture Memory Coherency & Consistency By Yoav Etsion and Dan Tsafrir Presentation.
CS492B Analysis of Concurrent Programs Coherence Jaehyuk Huh Computer Science, KAIST Part of slides are based on CS:App from CMU.
ECE200 – Computer Organization Chapter 9 – Multiprocessors.
Shared Memory Consistency Models. SMP systems support shared memory abstraction: all processors see the whole memory and can perform memory operations.
December 1, 2006©2006 Craig Zilles1 Threads and Cache Coherence in Hardware  Previously, we introduced multi-cores. —Today we’ll look at issues related.
Cache Coherence Protocols A. Jantsch / Z. Lu / I. Sander.
1 Lecture 19: Scalable Protocols & Synch Topics: coherence protocols for distributed shared-memory multiprocessors and synchronization (Sections )
Cache Coherence CS433 Spring 2001 Laxmikant Kale.
CS267 Lecture 61 Shared Memory Hardware and Memory Consistency Modified from J. Demmel and K. Yelick
1 Lecture: Coherence Topics: snooping-based coherence, directory-based coherence protocols (Sections )
CMSC 611: Advanced Computer Architecture Shared Memory Most slides adapted from David Patterson. Some from Mohomed Younis.
The University of Adelaide, School of Computer Science
COSC6385 Advanced Computer Architecture
CS5102 High Performance Computer Systems Thread-Level Parallelism
The University of Adelaide, School of Computer Science
The University of Adelaide, School of Computer Science
Lecture 18: Coherence and Synchronization
Multiprocessor Cache Coherency
The University of Adelaide, School of Computer Science
CMSC 611: Advanced Computer Architecture
Example Cache Coherence Problem
The University of Adelaide, School of Computer Science
CS5102 High Performance Computer Systems Distributed Shared Memory
Lecture 2: Snooping-Based Coherence
Chip-Multiprocessor.
Cache Coherence Protocols 15th April, 2006
Multiprocessors - Flynn’s taxonomy (1966)
11 – Snooping Cache and Directory Based Multiprocessors
Chapter 5 Exploiting Memory Hierarchy : Cache Memory in CMP
Lecture 25: Multiprocessors
High Performance Computing
Lecture 25: Multiprocessors
The University of Adelaide, School of Computer Science
Lecture 17 Multiprocessors and Thread-Level Parallelism
Lecture 24: Virtual Memory, Multiprocessors
Lecture 23: Virtual Memory, Multiprocessors
Lecture 24: Multiprocessors
Lecture: Coherence Topics: wrap-up of snooping-based coherence,
Lecture 17 Multiprocessors and Thread-Level Parallelism
Lecture: Coherence and Synchronization
Lecture 19: Coherence and Synchronization
Lecture 18: Coherence and Synchronization
The University of Adelaide, School of Computer Science
CSE 486/586 Distributed Systems Cache Coherence
Lecture 17 Multiprocessors and Thread-Level Parallelism
Presentation transcript:

CSE 502: Computer Architecture Shared-Memory Multi-Processors

Shared-Memory Multiprocessors Multiple threads use shared memory (address space) “SysV Shared Memory” or “Threads” in software Communication implicit via loads and stores Opposite of explicit message-passing multiprocessors Theoretical foundation: PRAM model P1 P2 P3 P4 Familiar for system (OS and DB) as well as application programmers Why? The same techniques that use concurrency to overlap disk and network latencies also work for parallel speedup on MPs. The exact same software can take advantage of MPs with only small changes Memory System

Why Shared Memory? Pluses Minuses Result App sees multitasking uniprocessor OS needs only evolutionary extensions Communication happens without OS Minuses Synchronization is complex Communication is implicit (hard to optimize) Hard to implement (in hardware) Result SMPs and CMPs are most successful machines to date First with multi-billion-dollar markets

Paired vs. Separate Processor/Memory? Separate CPU/memory Uniform memory access (UMA) Equal latency to memory Low peak performance Paired CPU/memory Non-uniform memory access (NUMA) Faster local memory Data placement matters High peak performance CPU($) CPU($) CPU($) CPU($) CPU($) CPU($) CPU($) CPU($) Mem R Mem R Mem R Mem R Mem Mem Mem Mem

Shared vs. Point-to-Point Networks Shared network Example: bus Low latency Low bandwidth Doesn’t scale >~16 cores Simple cache coherence Point-to-point network: Example: mesh, ring High latency (many “hops”) Higher bandwidth Scales to 1000s of cores Complex cache coherence CPU($) CPU($) CPU($) CPU($) CPU($) CPU($) Mem R Mem R Mem R Mem R Mem R R Mem Mem R R Mem CPU($) CPU($)

Organizing Point-To-Point Networks Network topology: organization of network Trade off perf. (connectivity, latency, bandwidth)  cost Router chips Networks w/separate router chips are indirect Networks w/ processor/memory/router in chip are direct Fewer components, “Glueless MP” R CPU($) CPU($) Mem R R Mem R R Mem R Mem R Mem R Mem R Mem R R Mem CPU($) CPU($) CPU($) CPU($) CPU($) CPU($)

Issues for Shared Memory Systems Two big ones Cache coherence Memory consistency model Closely related Often confused

Cache Coherence: The Problem (1/2) Variable A initially has value 0 P1 stores value 1 into A P2 loads A from memory and sees old value 0 P1 P2 t1: Store A=1 t2: Load A? A: 0 A: 0 1 L1 A: 0 L1 Bus A: 0 Main Memory Need to do something to keep P2’s cache coherent

Cache Coherence: The Problem (2/2) P1 and P2 have variable A (value 0) in their caches P1 stores value 1 into A P2 loads A from its cache and sees old value 0 P1 P2 t1: Store A=1 t2: Load A? A: 0 A: 0 1 L1 A: 0 L1 Bus A: 0 Main Memory Need to do something to keep P2’s cache coherent

Approaches to Cache Coherence Software-based solutions Mechanisms: Mark cache blocks/memory pages as cacheable/non-cacheable Add “Flush” and “Invalidate” instructions Could be done by compiler or run-time system Difficult to get perfect (e.g., what about memory aliasing?) Hardware solutions are far more common System ensures everyone always sees the latest value

Coherence with Write-through Caches Allows multiple readers, but writes through to bus Requires Write-through, no-write-allocate cache All caches must monitor (aka “snoop”) all bus traffic Simple state machine for each cache frame P1 P2 t1: Store A=1 A [V]: 0 1 A [V]: 0 Write-through No-write-allocate A [V I]: 0 A [V]: 0 t3: Invalidate A t2: BusWr A=1 Bus A: 0 1 A: 0 Main Memory

Valid-Invalid Snooping Protocol Processor Actions Ld, St, BusRd, BusWr Bus Messages BusRd, BusWr Track 1 bit per cache frame Valid/Invalid Load / -- Store / BusWr Valid BusWr / -- Load / BusRd Invalid Store / BusWr 9

Supporting Write-Back Caches Write-back caches are good Drastically reduce bus write bandwidth Add notion of “ownership” to Valid-Invalid When “owner” has only replica of a cache block Update it freely Multiple readers are ok Not allowed to write without gaining ownership On a read, system must check if there is an owner If yes, take away ownership

Modified-Shared-Invalid (MSI) States Processor Actions Load, Store, Evict Bus Messages BusRd, BusRdX, BusInv, BusWB, BusReply (Here for simplicity, some messages can be combined) Track 3 states per cache frame Invalid: cache does not have a copy Shared: cache has a read-only copy; clean Clean: memory (or later caches) is up to date Modified: cache has the only valid copy; writable; dirty Dirty: memory (or later caches) is out of date

Simple MSI Protocol (1/9) Load / BusRd Invalid Shared 1: Load A P1 P2 A [I S]: 0 A [I] A [I] 2: BusRd A Bus 3: BusReply A A: 0 9

Simple MSI Protocol (2/9) Load / BusRd BusRd / [BusReply] Invalid Shared Load / -- 1: Load A 1: Load A P1 P2 A [S]: 0 A [I] A [I S]: 0 2: BusRd A 3: BusReply A Bus A: 0 9

Simple MSI Protocol (3/9) Load / BusRd BusRd / [BusReply] Invalid Shared Load / -- Evict / -- Evict A P1 P2 A [S]: 0 A [S I] A [S]: 0 A [I] Bus A: 0 9

Simple MSI Protocol (4/9) Load / BusRd BusRd / [BusReply] Invalid Shared Load / -- BusRdX / [BusReply] Evict / -- Store / BusRdX 1: Store A P1 P2 A [S I]: 0 A [S]: 0 A [I M]: 0 1 A [I] Modified 2: BusRdX A 3: BusReply A Bus Load, Store / -- A: 0 9

Simple MSI Protocol (5/9) Load / BusRd BusRd / [BusReply] Invalid Shared Load / -- BusRdX / [BusReply] Evict / -- Store / BusRdX BusRd / BusReply 1: Load A P1 P2 A [I] A [I S]: 1 A [M]: 1 A [M S]: 1 Modified 3: BusReply A 2: BusRd A Bus 4: Snarf A Load, Store / -- A: 0 1 A: 0 9

Simple MSI Protocol (6/9) Load / BusRd BusRd / [BusReply] Invalid Shared Load / -- BusRdX, BusInv / [BusReply] BusRdX / [BusReply] Evict / -- 1: Store A aka “Upgrade” Store / BusRdX BusRd / BusReply P1 P2 Store / BusInv A [S]: 1 A [S M]: 2 A [S]: 1 A [S I] Modified 2: BusInv A Bus Load, Store / -- A: 1 9

Simple MSI Protocol (7/9) Load / BusRd BusRd / [BusReply] Invalid Shared Load / -- BusRdX, BusInv / [BusReply] Evict / -- Store / BusRdX BusRd / BusReply 1: Store A BusRdX / BusReply P1 P2 Store / BusInv A [M]: 2 A [M I]: 2 A [I M]: 3 A [I] Modified 2: BusRdX A 3: BusReply A Bus Load, Store / -- A: 1 9

Simple MSI Protocol (8/9) Load / BusRd BusRd / [BusReply] Invalid Shared Load / -- BusRdX, BusInv / [BusReply] Evict / -- Store / BusRdX BusRd / BusReply 1: Evict A BusRdX / BusReply Evict / BusWB P1 P2 Store / BusInv A [I] A [M]: 3 A [M I]: 3 Modified 2: BusWB A Bus Load, Store / -- A: 1 3 A: 1 9

Simple MSI Protocol (9/9) Load / BusRd BusRd / [BusReply] Invalid Shared Load / -- BusRdX, BusInv / [BusReply] Evict / -- Store / BusRdX BusRd / BusReply BusRdX / BusReply Evict / BusWB Cache Actions: Load, Store, Evict Bus Actions: BusRd, BusRdX BusInv, BusWB, BusReply Store / BusInv Modified Load, Store / -- Usable coherence protocol 9

Scalable Cache Coherence Part I: bus bandwidth Replace non-scalable bandwidth substrate (bus) …with scalable-bandwidth one (e.g., mesh) Part II: processor snooping bandwidth Most snoops result in no action Replace non-scalable broadcast protocol (spam everyone) …with scalable directory protocol (spam cores that care) Requires a “directory” to keep track of “sharers”

Directory Coherence Protocols Extend memory to track caching information For each physical cache line, a home directory tracks: Owner: core that has a dirty copy (i.e., M state) Sharers: cores that have clean copies (i.e., S state) Cores send coherence events to home directory Home directory only sends events to cores that care

Read Transaction L has a cache miss on a load instruction L H 1: Read Req L H 2: Read Reply

4-hop Read Transaction L has a cache miss on a load instruction Block was previously in modified state at R State: M Owner: R 1: Read Req 2: Recall Req L H R 4: Read Reply 3: Recall Reply

3-hop Read Transaction L has a cache miss on a load instruction Block was previously in modified state at R State: M Owner: R 1: Read Req 2: Fwd’d Read Req L H R 3: Fwd’d Read Ack 3: Read Reply

An Example Race: Writeback & Read L has dirty copy, wants to write back to H R concurrently sends a read to H Race ! WB & Fwd Rd No need to ack Race! Final State: S No need to Ack State: M Owner: L 1: WB Req 2: Read Req 6: L H R 4: 3: Fwd’d Read Req 5: Read Reply Races require complex intermediate states

Typical way to reason about directories Basic Operation: Read L Directory R Read A (miss) Read A A: Shared, #1 Fill A Typical way to reason about directories

Basic Operation: Write L Directory R Read A (miss) Read A A: Shared, #1 Fill A ReadExclusive A Invalidate A A: Mod., #2 Inv Ack A Fill A

Coherence vs. Consistency Coherence concerns only one memory location Consistency concerns ordering for all locations A Memory System is Coherent if Can serialize all operations to that location Operations performed by any core appear in program order Read returns value written by last store to that location A Memory System is Consistent if It follows the rules of its Memory Model Operations on memory locations appear in some defined order 3

Why Coherence != Consistency /* initial A = B = flag = 0 */ P1 P2 A = 1; while (flag == 0); /* spin */ B = 1; print A; flag = 1; print B; Intuition says we see “1” printed twice (A,B) Coherence doesn’t say anything Difference memory locations Uniprocessor ordering (LSQ) won’t help Consistency defines what is “correct” behavior 4

Sequential Consistency (SC) processors issue memory ops in program order P3 P1 P2 switch randomly set after each memory op Memory Defines Single Sequential Order Among All Ops. 5

Sufficient Conditions for SC “A multiprocessor is sequentially consistent if the result of any execution is the same as if the operations of all the processors were executed in some sequential order, and the operations of each individual processor appear in this sequence in the order specified by its program.” -Lamport, 1979 Every proc. issues memory ops in program order Memory ops happen (start and end) atomically On Store, wait to commit before issuing next memory op On Load, wait to write back before issuing next op Easy to reason about, very slow (without ugly tricks) 6

Mutual Exclusion Example Mutually exclusive access to a critical region Works as advertised under Sequential Consistency Fails if P1 and P2 see different Load/Store order OoO allows P1 to read B before writing (committing) A P1 P2 lockA: A = 1; lockB: B=1; if (B != 0) if (A != 0) { A = 0; goto lockA; } { B = 0; goto lockB; } /* critical section*/ /* critical section*/ A = 0; B = 0; 4

Problems with SC Memory Model Difficult to implement efficiently in hardware Straight-forward implementations: No concurrency among memory access Strict ordering of memory accesses at each node Essentially precludes out-of-order CPUs Unnecessarily restrictive Most parallel programs won’t notice out-of-order accesses Conflicts with latency hiding techniques 3

Mutex Example w/ Store Buffer Shared Bus P1 Read B t1 t3 P2 Read A t2 t4 A: 0 B: 0 Write A Write B P1 P2 lockA: A = 1; lockB: B=1; if (B != 0) if (A != 0) { A = 0; goto lockA; } { B = 0; goto lockB; } /* critical section*/ /* critical section*/ A = 0; B = 0; Does not work

Relaxed Consistency Models The University of Adelaide, School of Computer Science 11 April 2017 Relaxed Consistency Models Sequential Consistency (SC): R → W, R → R, W → R, W → W Total Store Ordering (TSO) relaxes W → R R → W, R → R, W → W Partial Store Ordering relaxes W → W (coalescing WB) R → W, R → R Weak Ordering or Release Consistency (RC) All ordering explicitly declared Use fences to define boundaries Use acquire and release to force flushing of values X → Y X must complete before Y Chapter 2 — Instructions: Language of the Computer

Atomic Operations & Synchronization The University of Adelaide, School of Computer Science 11 April 2017 Atomic Operations & Synchronization Atomic operations perform multiple actions together Each of these can implement the others Compare-and-Swap (CAS) Compare memory value to arg1, write arg2 on match Test-and-Set Overwrite memory value with arg1 and return old value Fetch-and-Increment Increment value in memory and return the old value Load-Linked/Store-Conditional (LL/SC) Two operations, but Store succeeds iff value unchanged Chapter 2 — Instructions: Language of the Computer