Flip-Flop J-K.

Slides:



Advertisements
Similar presentations
MC542 Organização de Computadores Teoria e Prática
Advertisements

DISEÑO LÓGICO (DLO) Ejemplos de VHDL.
arquitectura – implementação
VHDL Coding Style MO801/MC912.
MO Prof. Paulo Cesar Centoducatte MC542 Organização de Computadores Teoria e Prática.
Basic Finite State Machines 1. 2 Finite State Machines Binary encoded state machines –The number of flip-flops is the smallest number m such that 2 m.
Copyright © 1997 Altera Corporation download from: P.1 One Hot State Machine vs Binary/Gray Code State Machine Danny Mok Altera.
COE 202: Digital Logic Design Sequential Circuits Part 2
Lecture on Flip-Flops.
Module 3 Combinational and Sequential Logic Circuit By: Cesar Mendoza.
RS Flip Flops Benchmark Companies Inc PO Box Aurora CO
ASIC 121: Practical VHDL Digital Design for FPGAs Tutorial 2 October 4, 2006.
Adders and Subtractors
©2004 Brooks/Cole FIGURES FOR CHAPTER 20 VHDL FOR DIGITAL SYSTEM DESIGN Click the mouse to move to the next page. Use the ESC key to exit this chapter.
Structural Specification of Hardware Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
VHDL in digital circuit synthesis (tutorial) dr inż. Miron Kłosowski EA 309
Sequential Design ELEC 311 Digital Logic and Circuits Dr. Ron Hayne
Step 1: State Diagram.
©2004 Brooks/Cole FIGURES FOR CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC Click the mouse to move to the next page. Use the ESC key to exit this chapter. This.
Introduction To VHDL for Combinational Logic
Lecture #28 Page 1 ECE 4110– Sequential Logic Design Lecture #28 Agenda 1.Counters Announcements 1.HW #13 assigned 2.Next: Test #2 Review.
Digital Logic with VHDL EE 230 Digital Systems Fall 2006 (10/17/2006)
Edge Triggered Flip Flops (extended slides). Level-Sensitive Flip-Flop Level-sensitive flip-flop (also called a latch) Q changes whenever clock is high.
Sequential Logic Computer Organization Ellen Walker Hiram College Figures from Computer Organization and Design 3ed, D.A. Patterson & J.L. Hennessey, Morgan.
+ CS 325: CS Hardware and Software Organization and Architecture Sequential Circuits 1.
Latches and Flip-Flops
ENGIN112 L20: Sequential Circuits: Flip flops October 20, 2003 ENGIN 112 Intro to Electrical and Computer Engineering Lecture 20 Sequential Circuits: Flip.
CS 151 Digital Systems Design Lecture 20 Sequential Circuits: Flip flops.
Latches and Flip-Flops Discussion D4.1 Appendix J.
Sequential logic and systems
The edge triggered J-K Flip flop The J-K Flip flop is versatile and is widely used type of flip flop. The functioning of this flip flop is identical to.
Components used in the the Project J-K Flip Flop Switch Power Alternator 7-Segment Display Coded Decimal (BCD) Display.
Latch Flip flop.
Flip_Flops  Logic circuits are classified ito two groups  1. The combinational logic circuits,using the basic gates AND,OR and NOT.  2. Sequential.
ENG2410 Digital Design LAB #6 LAB #6 Sequential Logic Design (Flip Flops)
Flip Flops 3.1 Latches and Flip-Flops 3 ©Paul Godin Created September 2007 Last Edit Aug 2013.
Sequential Logic Combinatorial components: the output values are computed only from their present input values. Sequential components: their output values.
CEC 220 Digital Circuit Design Latches and Flip-Flops Monday, March 03 CEC 220 Digital Circuit Design Slide 1 of 19.
CEC 220 Digital Circuit Design VHDL in Sequential Logic Wednesday, March 25 CEC 220 Digital Circuit Design Slide 1 of 13.
DIGITAL LOGIC CIRCUITS 조수경 DIGITAL LOGIC CIRCUITS.
Combinational logic circuit
LAB #6 Sequential Logic Design (Flip Flops, Shift Registers)
FLIP FLOPS Binary unit capable of storing one bit – 0 or 1
Flip Flops.
Summary Latch & Flip-Flop
Latches. Flip-Flops. Remember the state. Bistable elements.
Flip Flops.
FIGURE 5.1 Block diagram of sequential circuit
Flip-flops Inputs are logically disconnected from the output in time.
Digital Design Lecture 9
FLIP FLOPS.
Flip-Flops SHAH KEVAL EN. NO.: EC DEPARTMENT,
Flip-Flop.
T Flip-Flop A T (toggle) flip-flop is a complementing flip-flop and can be obtained from a JK flip-flop when the two inputs are tied together. When T.
Latches and Flip-flops
Sequential logic circuits
Jeremy R. Johnson Mon. Apr. 3, 2000
Elec 2607 Digital Switching Circuits
Excitation Vectors Input Combinational Logic Memory Output States.
Excitation Vectors Input Combinational Logic Memory Output States.
Storage Elements.
Synchronous Sequential Logic
Digital Systems II EEC 180 Bevan M. Baas.
1) Latched, initial state Q =1
Flip-Flops.
Flip Flops Unit-4.
14 Digital Systems.
Latches and Flip-Flops
CMPE212 Discussion 11/21/2014 Patrick Sykes
(Sequential-Circuit Building Blocks)
Presentation transcript:

Flip-Flop J-K

Flip-Flop J-K LATCH RS

Flip-Flop J-K Análise: 1o. CASO => J = K = 0 => Q = Qn ; Q_inv = Qn_inv => MANTÉM LATCH RS R S

Flip-Flop J-K Análise: 2o. CASO => J = 0; K = 1 =>

Flip-Flop J-K Análise: Q = 0 2o. CASO => J = 0; K = 1 => Q = 0; Q_inv = 1 Q Q_inv R C S R E S E T LATCH RS Se Q = 0 Vcc Q = 0 Q Se Q = 1 R C Q_inv S

Flip-Flop J-K Análise: Q = 1 Vcc Q = 1 3o. CASO => J = 1; K = 0 => Q = 1; Q_inv = 0 Q R C S E T Q_inv S Se Q = 0; Q_inv = 1 LATCH RS Q = 1 Q Se Q = 1; Q_inv = 0 R C Q_inv S

Flip-Flop J-K Análise: Q = 1 Vcc Q = 1 4o. CASO => J = 1; K = 1 => Q = (Qn)’; Q R I N V E R T C Q_inv S Se Q = 0; Q_inv = 1 LATCH RS Vcc Q = 0 Q Se Q = 1; Q_inv = 0 R C Q_inv S

Flip-Flop J-K Símbolo Tabela de Transição Q J C Q_inv K C J K Qn+1 X X Qn 1 (Qn)’ Q J C Q_inv K Símbolo

Descrição VHDL – Flip- Flop JK com reset assíncrono library IEEE; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all; entity ff_jk is port ( j, k, clock, reset_n : in std_logic; q, qinv : out std_logic ); end ff_jk; architecture comportamental of ff_jk is signal qaux : std_logic; begin process (j, k, clock, reset_n) if reset_n = '0' then q <= '0'; qinv <= '1'; elsif rising_edge (clock) then if j = '0' and k = '1' then qaux <= '0'; elsif j = '1' and k = '0' then q <= '1'; qaux <= '1'; qinv <= '0'; elsif j = '1' and k = '1' then q <= not qaux; qinv <= qaux; qaux <= not qaux; end if; end process; end comportamental; Descrição VHDL – Flip- Flop JK com reset assíncrono

Simulação Flip-Flop J-K RESET Assíncrono Inverte saídas Estado SET Estado RESET

Flip-Flop tipo T T Símbolo Q J Tabela de Transição C Q_inv K T C T Qn+1 X Qn 1 (Qn)’

Descrição VHDL – Flip- Flop T com reset assíncrono library IEEE; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all; entity ff_t is port ( t, clock, reset_n : in std_logic; q, qinv : out std_logic ); end ff_t; architecture comportamental of ff_t is signal qaux : std_logic; begin process (t, clock, reset_n) if reset_n = '0' then q <= '0'; qinv <= '1'; qaux <= '0'; elsif rising_edge (clock) then if t= '1' then q <= not qaux; qaux <= not qaux; qinv <= qaux; end if; end process; end comportamental;

Simulação Flip-Flop Tipo T