Pre-bond TSV Test Optimization and Stacking Yield Improvement

Slides:



Advertisements
Similar presentations
Committee: Vishwani D. Agrawal Adit Singh Victor P. Nelson
Advertisements

10/28/2009VLSI Design & Test Seminar1 Diagnostic Tests and Full- Response Fault Dictionary Vishwani D. Agrawal ECE Dept., Auburn University Auburn, AL.
1 Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults Chidambaram Alagappan Vishwani D. Agrawal Department of Electrical and Computer.
3D-STAF: Scalable Temperature and Leakage Aware Floorplanning for Three-Dimensional Integrated Circuits Pingqiang Zhou, Yuchun Ma, Zhouyuan Li, Robert.
Specification Test Minimization for Given Defect Level Suraj Sindia Intel Corporation, Hillsboro, OR 97124, USA Vishwani D. Agrawal.
1 Sensor Relocation in Mobile Sensor Networks Guiling Wang, Guohong Cao, Tom La Porta, and Wensheng Zhang Department of Computer Science & Engineering.
Compaction of Diagnostic Test Set for a Full-Response Dictionary Mohammed Ashfaq Shukoor Vishwani D. Agrawal 18th IEEE North Atlantic Test Workshop, 2009.
Yuanlin Lu Intel Corporation, Folsom, CA Vishwani D. Agrawal
Diagnostic Test Generation and Fault Simulation Algorithms for Transition Faults Yu Zhang Vishwani D. Agrawal Auburn University, Auburn, Alabama
Polynomial-Time Algorithms for Designing Dual-Voltage Energy Efficient Circuits Master’s Thesis Defense Mridula Allani Advisor : Dr. Vishwani D. Agrawal.
A Diagnostic Test Generation System Yu Zhang Vishwani D. Agrawal Auburn University, Auburn, Alabama USA Nov. 3rdITC
Reduced Complexity Test Generation Algorithms for Transition Fault Diagnosis Yu Zhang Vishwani D. Agrawal Auburn University, Auburn, Alabama USA.
Robust Low Power VLSI ECE 7502 S2015 Burn-in/Stress Test for Reliability: Reducing burn-in time through high-voltage stress test and Weibull statistical.
May 17, 2007North Atlantic Test Workshop (NATW) 2007, May 16-18, Boxborough, Massachusetts 1 Nitin Yogi and Vishwani D. Agrawal Auburn University Department.
Copyright 2001, Agrawal & BushnellDay-1 AM Lecture 11 Design for Testability Theory and Practice January 15 – 17, 2005 Vishwani D. Agrawal James J. Danaher.
Externally Tested Scan Circuit with Built-In Activity Monitor and Adaptive Test Clock Priyadharshini Shanmugasundaram Vishwani D. Agrawal.
Design of Variable Input Delay Gates for Low Dynamic Power Circuits
Nov 29th 2006MS Thesis Defense1 Minimizing N-Detect Tests for Combinational Circuits Master’s Defense Kalyana R. Kantipudi Thesis Advisor: Dr. Vishwani.
Dec. 19, 2005ATS05: Agrawal and Doshi1 Concurrent Test Generation Auburn University, Department of Electrical and Computer Engineering Auburn, AL 36849,
Concurrent Test Generation Auburn University, Department of Electrical and Computer Engineering Auburn, AL 36849, USA Vishwani D. Agrawal Alok S. Doshi.
Priyadharshini Shanmugasundaram Vishwani D. Agrawal DYNAMIC SCAN CLOCK CONTROL FOR TEST TIME REDUCTION MAINTAINING.
A Two Phase Approach for Minimal Diagnostic Test Set Generation Mohammed Ashfaq Shukoor Vishwani D. Agrawal 14th IEEE European Test Symposium Seville,
Dec. 29, 2005Texas Instruments (India)1 Concurrent Test Generation Auburn University, Department of Electrical and Computer Engineering Auburn, AL 36849,
Jan 6-10th, 2007VLSI Design A Reduced Complexity Algorithm for Minimizing N-Detect Tests Kalyana R. Kantipudi Vishwani D. Agrawal Department of Electrical.
Jan. 2007VLSI Design '071 Statistical Leakage and Timing Optimization for Submicron Process Variation Yuanlin Lu and Vishwani D. Agrawal ECE Dept. Auburn.
March 17, 2008Southeastern Symposium on System Theory (SSST) 2008, March 16-18, New Orleans, Louisiana 1 Nitin Yogi and Dr. Vishwani D. Agrawal Auburn.
Jan. 6, 2006VLSI Design '061 On the Size and Generation of Minimal N-Detection Tests Kalyana R. Kantipudi Vishwani D. Agrawal Department of Electrical.
February 4, 2009Shukoor: MS Thesis Defense1 Fault Detection and Diagnostic Test Set Minimization Master’s Defense Mohammed Ashfaq Shukoor Dept. of ECE,
Copyright 2001, Agrawal & BushnellDay-1 AM-1 Lecture 11 Testing Analog & Digital Products Dr. Vishwani D. Agrawal James J. Danaher Professor of Electrical.
Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost W. Liu, T. Chien and T. Wang Department of CS, NTHU,
VLSI Design & Embedded Systems Conference January 2015 Bengaluru, India Diagnostic Tests for Pre-Bond TSV Defects Bei Zhang Vishwani Agrawal.
Spring 10, Jan 13ELEC 7770: Advanced VLSI Design (Agrawal)1 ELEC 7770 Advanced VLSI Design Spring 2010 VLSI Yield and Moore’s Law Vishwani D. Agrawal James.
March 6, th Southeastern Symposium on System Theory1 Transition Delay Fault Testing of Microprocessors by Spectral Method Nitin Yogi and Vishwani.
Diagnostic and Detection Fault Collapsing for Multiple Output Circuits Raja K. K. R. Sandireddy and Vishwani D. Agrawal Dept. Of Electrical and Computer.
By Praveen Venkataramani Vishwani D. Agrawal TEST PROGRAMMING FOR POWER CONSTRAINED DEVICES 5/9/201322ND IEEE NORTH ATLANTIC TEST WORKSHOP 1.
By Praveen Venkataramani Committee Prof. Vishwani D. Agrawal (Advisor) Prof. Adit D. Singh Prof. Fa Foster Dai REDUCING ATE TEST TIME BY VOLTAGE AND FREQUENCY.
Radial Basis Function Networks
L i a b l eh kC o m p u t i n gL a b o r a t o r y On Effective and Efficient In-Field TSV Repair for Stacked 3D ICs Presenter: Li Jiang Li Jiang †, Fangming.
L i a b l eh kC o m p u t i n gL a b o r a t o r y On Effective TSV Repair for 3D- Stacked ICs Li Jiang †, Qiang Xu † and Bill Eklow § † CUhk REliable.
Power-Aware SoC Test Optimization through Dynamic Voltage and Frequency Scaling Vijay Sheshadri, Vishwani D. Agrawal, Prathima Agrawal Dept. of Electrical.
Finding Optimum Clock Frequencies for Aperiodic Test Master’s Thesis Defense Sindhu Gunasekar Dept. of ECE, Auburn University Advisory Committee: Dr. Vishwani.
IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS 2007 (TPDS 2007)
Robust Low Power VLSI ECE 7502 S2015 Test Challenges for 3D Integrated Circuits ECE 7502 Class Discussion Reza Rahimi 10 th Feb 2015.
L i a b l eh kC o m p u t i n gL a b o r a t o r y Yield Enhancement for 3D-Stacked Memory by Redundancy Sharing across Dies Li Jiang, Rong Ye and Qiang.
Hongbo Zhang, Yuelin Du, Martin D.F. Wong, Yunfei Deng, Pawitter Mangat Synopsys Inc., USA Dept. of ECE, Univ. of Illinois at Urbana-Champaign GlobalFoundries.
Planning Production of a Set of Semiconductor Components with Uncertain Wafer and Component Yield Frank W. Ciarallo Assistant Professor Biomedical, Industrial.
1 SOC Test Architecture Optimization for Signal Integrity Faults on Core-External Interconnects Qiang Xu and Yubin Zhang Krishnendu Chakrabarty The Chinese.
SoC TAM Design to Minimize Test Application Time Advisor Dr. Vishwani D. Agrawal Committee Members Dr. Victor P. Nelson, Dr. Adit D. Singh Apr 9, 2015.
TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011.
Abhishek Pandey Reconfigurable Computing ECE 506.
An Efficient Algorithm for Dual-Voltage Design Without Need for Level-Conversion SSST 2012 Mridula Allani Intel Corporation, Austin, TX (Formerly.
Low-Power Gated Bus Synthesis for 3D IC via Rectilinear Shortest-Path Steiner Graph Chung-Kuan Cheng, Peng Du, Andrew B. Kahng, and Shih-Hung Weng UC San.
Wafer Cut and Rotation to Improve the Compound yield for 3D Wafer- on-Wafer Stacking Bei Zhang Department of Electrical and Computer Engineering Auburn.
Temperature-Gradient Based Burn-In for 3D Stacked ICs Nima Aghaee, Zebo Peng, and Petru Eles Embedded Systems Laboratory (ESLAB) Linkoping University 12th.
Master’s Thesis Defense Xiaolu Shi Dept. of ECE, Auburn University
SoC TAM Design to Minimize Test Application Time Huiting Zhang Vishwani D. Agrawal May 12, North Atlantic Test Workshop.
Optimal Selection of ATE Frequencies for Test Time Reduction Using Aperiodic Clock Sindhu Gunasekar Vishwani D. Agrawal.
New Modeling Techniques for the Global Routing Problem Anthony Vannelli Department of Electrical and Computer Engineering University of Waterloo Waterloo,
Muralidharan Venkatasubramanian Vishwani D. Agrawal
CMPE 511 Computer Architecture A Faster Optimal Register Allocator Betül Demiröz.
26 th International Conference on VLSI January 2013 Pune,India Optimum Test Schedule for SoC with Specified Clock Frequencies and Supply Voltages Vijay.
Layout-Driven Test-Architecture Design and Optimization for 3D SoCs under Pre-Bond Test- Pin-Count Constraint Li Jiang 1, Qiang Xu 1, Krishnendu Chakrabarty.
Towards Efficient Large-Scale VPN Monitoring and Diagnosis under Operational Constraints Yao Zhao, Zhaosheng Zhu, Yan Chen, Northwestern University Dan.
1 Short Term Scheduling. 2  Planning horizon is short  Multiple unique jobs (tasks) with varying processing times and due dates  Multiple unique jobs.
VTS 2012: Zhao-Agrawal1 Net Diagnosis using Stuck-at and Transition Fault Models Lixing Zhao* Vishwani D. Agrawal Department of Electrical and Computer.
Test Architecture Design and Optimization for Three- Dimensional SoCs Li Jiang, Lin Huang and Qiang Xu CUhk Reliable Computing Laboratry Department of.
CAS 721 Course Project Implementing Branch and Bound, and Tabu search for combinatorial computing problem By Ho Fai Ko ( )
Pattern Compression for Multiple Fault Models
A Primal-Dual Solution to Minimal Test Generation Problem
ELEC 7770 Advanced VLSI Design Spring 2014 VLSI Yield and Moore’s Law
Presentation transcript:

Pre-bond TSV Test Optimization and Stacking Yield Improvement of 3D ICs Bei Zhang Final Exam Thesis Advisor: Dr. Vishwani Agrawal Thesis Committee: Dr. Victor Nelson Dr. Adit Singh External reader: Dr. Xiao Qin Department of Electrical and Computer Engineering Auburn University, AL 36849 USA

ACKNOWLEGMENT Prof. Vishwani Agrawal for his invaluable guidance throughout my work, Prof. Adit Singh and Prof. Nelson for being my committee members and for their courses, Prof. Xiao Qin for being my external reader, My friends and family for their support throughout my research. Sep 30, 2014 Bei’s final exam

Presentation Outline Introduction Problem Statements Prebond TSV test optimization Test session generation Dynamically identify faulty TSVs Test session scheduling Three-step test time optimization Wafer-on-wafer stacking yield improvement and cost reduction Conclusion Sep 30, 2014 Bei’s final exam

Introduction 3D stacked IC basic structure: Through silicon Via (TSV) Sep 30, 2014 Bei’s final exam

Introduction RC models of defect-free pre-bond TSVs Blind TSV type 1 Open-sleeve TSV Sep 30, 2014 Bei’s final exam

Introduction Why test TSV before bonding? Defects arises in TSV manufacturing, such as a void within a TSV, a complete break in a TSV, a pinhole creating a leakage path between TSV and substrate, etc. Pre-bond TSV test helps identify defective dies early in the process and avoid situations where one single bad die causes entire 3D stack to be discarded. Pre-bond TSV test provides known good die (KGD) information for die-to-die or die-to-wafer or wafer-on-wafer fabrication process. Heterogeneous integration means each layer can be manufactured with different technology and optimized for speed or area. This affects the yield, performance and lithography cost positively. Sep 30, 2014 Bei’s final exam

Introduction RC models of defective pre-bond TSVs Resistance-defective TSV Capacitance-defective TSV Sep 30, 2014 Bei’s final exam

Introduction How to test TSVs before bonding? For Blind TSV type 1 and Open-sleeve TSV, the TSVs are buried in wafer. Test requires special per-TSV DFT circuit (e.g., BIST) to test the TSVs with only single-sided access. BIST methods have drawbacks. For Blind TSV type 2, TSV tips are exposed. This requires special facilities to probe thinned wafers (about 50 µm thick) without damaging them. However, the relatively large pitch (40 µm) of current probing technology prohibits individual TSV probing with a realistic pitch of 10 µm. Heterogeneous integration means each layer can be manufactured with different technology and optimized for speed or area. This affects the yield, performance and lithography cost positively. Sep 30, 2014 Bei’s final exam

A novel TSV probing method Illustration of pre-bond TSV probing on the back side of wafer.

A novel TSV probing method Probe card configuration 1 B. Noia and K. Chakrabarty, Design-for-Test and Test Optimization Techniques for TSV-based 3D Stacked ICs. Springer, 2014.

A novel TSV probing method Probe card configuration 2 B. Noia and K. Chakrabarty, Design-for-Test and Test Optimization Techniques for TSV-based 3D Stacked ICs. Springer, 2014.

A novel TSV probing method Circuit model of pre-bond TSV probing Sep 30, 2014 Bei’s final exam

Number of TSVs tested in parallel (q) Capacitor charging time Test time of parallel TSV test Number of TSVs tested in parallel (q) Capacitor charging time t(q) (10-7s) 1 8.0 2 5.3 3 4.2 4 3.8 1) Any faulty TSV within a parallel test will cause the test to fail but we cannot tell which TSV(s) is (are) faulty. 2) On the other hand, a good parallel test implies that all TSVs within the parallel test are fault-free. S. K. Roy, S. Chatterjee, C. Giri, and H. Rahaman, “Faulty TSVs Identification and Recovery in 3D Stacked ICs During Pre-bond Testing,” in Proc. International 3D Systems Integration Conference, 2013, pp. 1–6.

Terminologies TSV network Formed by all TSVs simultaneously contacted to the same probe needle. Test session (Si) TSVs tested in parallel within the same TSV network form a test session. Maximum number of faulty TSVs to identify This number m equals to the number of redundant TSVs in the TSV network being tested. Session size (q) Session size q is defined as the number of TSVs within a session. Resolution constraint (r) Resolution constraint r indicates that the session size should never exceed r. Test time of a session (t(q)) It only refers to the charging time of Ccharge, and is related to session size Fault map (ρ) Fault map represents positions of all defective TSVs within the TSV network. Worst fault map Worst faulty map for a given TSV network refers to a fault map which takes most sessions to identify.

Introduction Why compound yield loss in W2W stacking? Sep 30, 2014 Bei’s final exam

Introduction Wafers versus Layers in 3D W2W stacking Sep 30, 2014 M. Taouil, S. Hamdioui, J. Verbree, and E. Marinissen, “On Maximizing the compound yield for 3D wafer-to-wafer stacked IC," in Proc. International Test Conf., 2010, pp. 1-10. Sep 30, 2014 Bei’s final exam

Matching Algorithms Matching algorithms based on Static repository: Globally greedy matching Iterative matching heuristic Integer linear programming Iterative greedy S. Reda, G. Smith, and L. Smith, “Maximizing the Functional Yield of Wafer-to-Wafer 3-D Integration,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 17, no. 9, pp. 1357–1362, Sept. 2009.

Presentation Outline Introduction Problem Statements Prebond TSV test optimization Test session generation Dynamically identify faulty TSVs Test session scheduling Three-step test time optimization Wafer-on-wafer stacking yield improvement and cost reduction Conclusion Sep 30, 2014 Bei’s final exam

Problem Statement General Problem 1 General Problem 2 How to quickly finish pre-bond TSV probing test. Pinpoint each defective TSV within a reparable TSV network (# faulty TSVs <= # redundant TSVs) as soon as possible. 2) Identify an irreparable TSV network (# faulty TSVs > # redundant TSVs) as soon as possible. General Problem 2 How to improve the overall compound yield and reduce the cost of wafer-on-wafer stacked 3D ICs. Sep 30, 2014 Bei’s final exam

Presentation Outline Introduction Problem Statements Prebond TSV test optimization Test session generation Dynamically identify faulty TSVs Test session scheduling Three-step test time optimization Wafer-on-wafer stacking yield improvement and cost reduction Conclusion Sep 30, 2014 Bei’s final exam

Test Session Generation Motivation Compared to individual TSV test, large test time saving is possible if we test TSVs in parallel without losing the capability of identifying up to m faulty TSVs, and also guarantee the size of each test session does not exceed the resolution constraint r. Sep 30, 2014 Bei’s final exam

Test Session Generation Problem statement Given the test time t(q) for different session size q (q∈[1, r]), given the maximum number (m) of faulty TSVs within a T TSV network. Determine a series of test sessions (with size less than r) so that up to m faulty TSVs can be uniquely identified and the total test time is minimized. Sufficient condition solving the problem If each TSV (TSVi) is put in m + 1 sessions (say, S1, S2, · · · , Sm+1) and the intersection of any 2 out of these m + 1 sessions contains only TSVi, i.e., Si ∩ Sj = TSVi for i ≠ j ∈ [1, m + 1], then up to m faulty TSVs within the network can be uniquely identified. B. Noia and K. Chakrabarty, “Identification of Defective TSVs in Pre-Bond Testing of 3D ICs,” in Proc. 20th AsianTest Symposium (ATS), 2011, pp. 187–194.

Limitations of previous heuristic method For session generation For example, to pinpoint 1 faulty TSV in a 6-TSV network with minimum resolution constraint of r = 4, the heuristic based sessions are {1,2,3,4}, {1,5,6}, {2,5}, {3,6}, {4}. Careful examination shows: Last session {4} is useless as the first 4 sessions uniquely identify any single faulty TSV. After removing {4}, the remaining sessions are still not optimal as an optimal result is {1,2,3}, {1,4,5}, {2,4,6}, {3,5,6}, which further reduces test time by 9.7%. B. Zhang and V. D. Agrawal, “Diagnostic Tests for Pre-Bond TSV,” to appear in Proc. 26th International Conference on VLSI Design, Jan 2015..

ILP based Session Generation Three general constraints for our ILP model (named ILP model 1): C1. Each TSV should reside in at least m + 1 test sessions. C2. The size of a test session ranges anywhere from 0 (empty session) to r. C3. Any non-empty session is supposed to be a unique session for any TSV within it. A unique test session for TSVi is a session whose intersection with any other session containing TSVi consists of only TSVi.

Experimental results Test time comparison for a 20-TSV network Thus, wafers from the first two repositories are matched without any restriction, and the pair producing maximum yield is selected (best-pair match). Then the pair of wafers as a whole is matched with every wafer from the next repository to find the best one (best-one match), and the same process iterates until the last repository. After one complete stack is formed, each repository is replenished immediately. This process is repeated until the production size is reached. Test time comparison for a 20-TSV network Sep 30, 2014 Bei’s final exam

Experimental results Thus, wafers from the first two repositories are matched without any restriction, and the pair producing maximum yield is selected (best-pair match). Then the pair of wafers as a whole is matched with every wafer from the next repository to find the best one (best-one match), and the same process iterates until the last repository. After one complete stack is formed, each repository is replenished immediately. This process is repeated until the production size is reached. Test time comparison for resolution constraint r = 3 Sep 30, 2014 Bei’s final exam

Experimental results Comparison of number of sessions for r = 4 Thus, wafers from the first two repositories are matched without any restriction, and the pair producing maximum yield is selected (best-pair match). Then the pair of wafers as a whole is matched with every wafer from the next repository to find the best one (best-one match), and the same process iterates until the last repository. After one complete stack is formed, each repository is replenished immediately. This process is repeated until the production size is reached. Comparison of number of sessions for r = 4 Sep 30, 2014 Bei’s final exam

Presentation Outline Introduction Problem Statements Prebond TSV test optimization Test session generation Dynamically identify faulty TSVs Test session scheduling Three-step test time optimization Wafer-on-wafer stacking yield improvement and cost reduction Conclusion Sep 30, 2014 Bei’s final exam

Dynamically identify faulty TSVs Motivation To pinpoint 1 faulty TSV in a 6-TSV network with minimum resolution constraint of r = 4. Optimal sessions are {1,2,3}, {1,4,5}, {2,4,6}, {3,5,6} If TSV1 is faulty, all 4 sessions need to be tested to identify it. If TSV6 is faulty, only the first 3 sessions need to be tested to pinpoint it. 3) Develop an algorithm to terminate the test as soon as our goal of identification is reached. Sep 30, 2014 Bei’s final exam

Dynamically identify faulty TSVs Problem statement Given a series of test sessions, how to identify up to m faulty TSVs within a T-TSV network based on these sessions with minimum identification time. Solutions: First, during the identification process, any “currently unnecessary” session is skipped. Second, TSV test is terminated as soon as either all TSVs have been identified or the number of identified faulty TSV exceeds m. B. Zhang and V. D. Agrawal, “An Optimal Probing Method of Pre-Bond TSV Fault Identification for 3D Stacked ICs,” to appear in Proc. IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference, Oct 2014.

Experimental results Exhaustive and dynamically optimized application of TSV test sessions constructed by ILP model 1 Thus, wafers from the first two repositories are matched without any restriction, and the pair producing maximum yield is selected (best-pair match). Then the pair of wafers as a whole is matched with every wafer from the next repository to find the best one (best-one match), and the same process iterates until the last repository. After one complete stack is formed, each repository is replenished immediately. This process is repeated until the production size is reached. Sep 30, 2014 Bei’s final exam

Presentation Outline Introduction Problem Statements Prebond TSV test optimization Test session generation Dynamically identify faulty TSVs Test session scheduling Three-step test time optimization Wafer-on-wafer stacking yield improvement and cost reduction Conclusion Sep 30, 2014 Bei’s final exam

Test Session Scheduling Motivation 1 In real silicon, TSV yield is expected to be more than 99% . It is most likely there is less than 1 faulty TSV within a TSV network. Probability of different number of failing TSVs within a 15-TSV network Sep 30, 2014 Bei’s final exam

Test Session Scheduling Motivation 2 In case of all TSVs within a network are fault free, all TSVs are identified as good TSVs as long as the already tested sessions covered all TSVs. Sep 30, 2014 Bei’s final exam

Test Session Scheduling Problem statement Given a series of N test sessions that can uniquely identify up to m faulty TSVs within a TSV network of T TSVs, find an optimal order to apply those sessions so that the expectation of pre-bond TSV test time is minimized for this TSV network. Test time expectation: Sep 30, 2014 Bei’s final exam

Test Session Scheduling A simplified problem Given N test sessions that can uniquely identify up to m faulty TSVs within a network of T TSVs, select M out of N sessions such that these M sessions cover each TSV at least once and the total test time of the selected M sessions is minimum. This problem can be easily solved by constructing an ILP model (named ILP model 2). B. Zhang and V. D. Agrawal, “An Optimized Diagnostic Procedure for Pre-Bond TSV Defects,” to appear in Proc. 32nd IEEE International Conference on Computer Design, Oct 2014. Sep 30, 2014 Bei’s final exam

Iterative session sorting procedure Sep 30, 2014 Bei’s final exam

Presentation Outline Introduction Problem Statements Prebond TSV test optimization Test session generation Dynamically identify faulty TSVs Test session scheduling Three-step test time optimization Wafer-on-wafer stacking yield improvement and cost reduction Conclusion Sep 30, 2014 Bei’s final exam

Three-step Test Time Optimization B. Zhang and V. D. Agrawal, “An Optimized Diagnostic Procedure for Pre-Bond TSV Defects,” to appear in Proc. 32nd IEEE International Conference on Computer Design, Oct 2014.

Two-step Test Time Optimization Sep 30, 2014 Bei’s final exam

Experimental results Expectation of number of tested sessions, defect clustering coefficient α = 1, data shows (sessions for SOS2, sessions for SOS3, reduction by SOS3) Thus, wafers from the first two repositories are matched without any restriction, and the pair producing maximum yield is selected (best-pair match). Then the pair of wafers as a whole is matched with every wafer from the next repository to find the best one (best-one match), and the same process iterates until the last repository. After one complete stack is formed, each repository is replenished immediately. This process is repeated until the production size is reached. Sep 30, 2014 Bei’s final exam

Experimental results Expectation of test time (µs), defect clustering coefficient α = 1, data shows (test time for SOS2, test time for SOS3, reduction by SOS3) Thus, wafers from the first two repositories are matched without any restriction, and the pair producing maximum yield is selected (best-pair match). Then the pair of wafers as a whole is matched with every wafer from the next repository to find the best one (best-one match), and the same process iterates until the last repository. After one complete stack is formed, each repository is replenished immediately. This process is repeated until the production size is reached. Sep 30, 2014 Bei’s final exam

Presentation Outline Introduction Problem Statements Prebond TSV test optimization Test session generation Dynamically identify faulty TSVs Test session scheduling Three-step test time optimization Wafer-on-wafer stacking yield improvement and cost reduction Conclusion Sep 30, 2014 Bei’s final exam

Illustration of Our Efforts A new wafer manipulation method: B. Zhang and V. D. Agrawal, “A Novel Wafer Manipulation Method for Yield Improvement and Cost Reduction of 3D Wafer-on-Wafer Stacked ICs,” Journal of Electronic Testing: Theory and Applications, vol. 30, pp. 57–75, 2014. B. Zhang, B. Li, and V. D. Agrawal, “Yield Analysis of a Novel Wafer Manipulation Method in 3D Stacking,” in Proc. IEEE International 3D Systems Integration Conference, 2013, pp. 1–8.

Specifically Designed Wafers Wafers fabricated with rotational symmetry: Double rotation Fourfold rotation B. Zhang and V. D. Agrawal, “A Novel Wafer Manipulation Method for Yield Improvement and Cost Reduction of 3D Wafer-on-Wafer Stacked ICs,” Journal of Electronic Testing: Theory and Applications, vol. 30, pp. 57–75, 2014. E. Singh, “Exploiting Rtational Symmetries for Improved Stacked Yields in W2W 3D-SICs,” in Proc. IEEE 29th VLSI Test Symposium (VTS), 2011, pp. 32–37.

Wafer Cut and Rotation Cut rotationally symmetric wafer to sectors (subwafers): Sep 30, 2014 Bei’s final exam

Wafer Cut and Rotation Sub-wafers rotation: Sep 30, 2014 Bei’s final exam

In case of more than 4 cuts, two methods of placement: Placement method 1 Placement method 2 Sep 30, 2014 Bei’s final exam

Wafer Cut and Rotation Discussion on the number of cuts: Illustration of Die loss on a wafer Places where no die can be placed Sep 30, 2014 Bei’s final exam

DPW V.S. number of cuts for placement method 1 and 2 Relationship Between DPW and # of Cuts # of dies per wafer: Rule-of-thumb In practice is 4-cuts DPW V.S. number of cuts for placement method 1 and 2

Proposed wafer stacking Flow In Figure 7, initially all repositories are filled with subwafers. The best-pair match between the first two repositories and the best-one match for the rest of the repositories are conducted afterwards. Consider for now that the matching is with respect to subwafers instead of wafers. For each repository replenishment,there is a back-up wafer which is cut and rotated. As one subwafer leaves a repository, a new subwafer from the back-up wafer will replenish the repository, immediately. Once the back-up wafer is used, a new back-up wafer will replace it. Sep 30, 2014 Bei’s final exam

Summary Different wafer manipulation methods: Names Explanations Basic Two wafers are matched directly Rotation4 Two wafers can be matched in 4 different ways due to rotational symmetry Rotation2 Two wafers can be matched in 2 Cut and Rotation4 (CR4) Each wafer is cut to 4 sectors and with each sector rotated for matching Cut and Rotation2 (CR2) Each wafer is cut to 2 sectors Sep 30, 2014 Bei’s final exam

Experiments Experiment setup: Wafer with edge clearance Experiment setup: We consider 200-mm wafers with edge clearance set as 5 mm. Die area A production size of 100,000 3D ICs is targeted in all experiments for each type of chips. The running repository based best-pair matching algorithm is utilized in the experiment. Sep 30, 2014 Bei’s final exam

Defect Models The spatial probability functions used to generate the simulated Wafers. Gray levels correspond to failure probabilities ranging from 0 (white) to 1 (black) G. DeNicoao, E. Pasquinetti, G. Miraglia, and F. Piccinini, “Unsupervised spatial pattern classification of electrical fail-ures in semiconductor manufacturing,” in Artif. Neural Net-works Pattern Recognit. Workshop, 2003, pp. 125–131.

Yield Comparison Between Different Stacking Procedures (a) Pattern 1 (b) Pattern 2 (c) Pattern 3 (d) Pattern 4 (e) Pattern 5 (f) Pattern 6 (g) Pattern 7 (h) Pattern 8 (i) Pattern 9

Impact of Number of Stacked Layers on Compound Yield (a) Pattern 1 (b) Pattern 2 (c) Pattern 3 (d) Pattern 4 (e) Pattern 5 (f) Pattern 6 56 (g) Pattern 7 (h) Pattern 8 (i) Pattern 9

Cost Analysis Model Sep 30, 2014 Bei’s final exam

Cost improvement percentage for SSC4 over basic under various defect distributions and for number of staking layers (l) ranging from 2 to 6

Cost improvement percentage for SSC4 over basic under various defect distributions and for number of staking layers (l) ranging from 2 to 6 Sep 30, 2014 Bei’s final exam

Presentation Outline Introduction Problem Statements Prebond TSV test optimization Test session generation Dynamically identify faulty TSVs Test session scheduling Three-step test time optimization Wafer-on-wafer stacking yield improvement and cost reduction Conclusion Sep 30, 2014 Bei’s final exam

Conclusion Proposed three-step optimization for pre-bond TSV test Test session generation Dynamically identify faulty TSVs Test session scheduling Proposed wafer Cut and Rotation manipulation method for yield improvement and cost reduction of wafer-on-wafer stacked ICs Sep 30, 2014 Bei’s final exam

Journal and Conference presentations B. Zhang and V. D. Agrawal, “SOS3: Three Step Optimization of Pre-bond Defective TSV Diagnosis,” (14 pages, in preparation) in Journal of Electronic Testing: Theory and Applications. Y. Zhang, B. Zhang and V. D. Agrawal, “Diagnostic Test Generation for Transition Delay Faults Using Stuck-at Fault Detection Tools,” (18 pages, minor revision) in Journal of Electronic Testing: Theory and Applications. B. Zhang and V. D. Agrawal, “An Optimal Probing Method of Pre-Bond TSV Fault Identification for 3D Stacked ICs,” to appear in Proc. IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference, Oct 2014. B. Zhang and V. D. Agrawal, “An Optimized Diagnostic Procedure for Pre-Bond TSV Defects,” to appear in Proc. 32nd IEEE International Conference on Computer Design, Oct 2014. B. Zhang and V. D. Agrawal, “Diagnostic Tests for Pre-Bond TSV,” to appear in Proc. 26th International Conference on VLSI Design, Jan 2015. B. Zhang and V. D. Agrawal, “A Novel Wafer Manipulation Method for Yield Improvement and Cost Reduction of 3D Wafer-on-Wafer Stacked ICs,” Journal of Electronic Testing: Theory and Applications, vol. 30, pp. 57–75, 2014. B. Zhang, B. Li, and V. D. Agrawal, “Yield Analysis of a Novel Wafer Manipulation Method in 3D Stacking,” in Proc. IEEE International 3D Systems Integration Conference, 2013, pp. 1–8.

Thank you!