June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.

Slides:



Advertisements
Similar presentations
Network II.5 simulator ..
Advertisements

Umut Girit  One of the core members of the Internet Protocol Suite, the set of network protocols used for the Internet. With UDP, computer.
April 30, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
A Gigabit Ethernet Link Source Card Robert E. Blair, John W. Dawson, Gary Drake, David J. Francis*, William N. Haberichter, James L. Schlereth Argonne.
1 Chapter Six - Errors, Error Detection, and Error Control Chapter Six.
The Spartan 3e FPGA. CS/EE 3710 The Spartan 3e FPGA  What’s inside the chip? How does it implement random logic? What other features can you use?  What.
Chapter 10 Introduction to Wide Area Networks Data Communications and Computer Networks: A Business User’s Approach.
Configuration. Mirjana Stojanovic Process of loading bitstream of a design into the configuration memory. Bitstream is the transmission.
October 8, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Paolo Musico on behalf of KM3NeT collaboration The Central Logic Board for the KM3NeT detector: design and production Abstract The KM3NeT deep sea neutrino.
© 2003 Xilinx, Inc. All Rights Reserved Reading Reports Xilinx: This module was completely redone. Please translate entire module Some pages are the same.
Marseille 30 January 2013 David Calvo IFIC (CSIC – Universidad de Valencia) CLB: Current status and development on CLBv2 in Valencia.
February 26, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
M. Lo Vetere 1,2, S. Minutoli 1, E. Robutti 1 1 I.N.F.N Genova, via Dodecaneso, GENOVA (Italy); 2 University of GENOVA (Italy) The TOTEM T1.
1 Programming of FPGA in LiCAS ADC for Continuous Data Readout Week 3 Report Jack Hickish.
Tools - Implementation Options - Chapter15 slide 1 FPGA Tools Course Implementation Options.
August 06, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Introduction to FPGA Created & Presented By Ali Masoudi For Advanced Digital Communication Lab (ADC-Lab) At Isfahan University Of technology (IUT) Department.
Electronic System Design GroupInstrumentation DepartmentR. Halsall, S. Taghavirad et alRutherford Appleton Laboratory5 March 2003 Delay FPGA I/O Clock40.
LZRW3 Data Compression Core Dual semester project April 2013 Project part A final presentation Shahar Zuta Netanel Yamin Advisor: Moshe porian.
July 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
May 29, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
September 11-12, 2013KM3NeT, CLBv2 Workshop Valencia Peter Jansweijer Nikhef Amsterdam Electronics- Technology Shore station brainstorm 1.
Field Programmable Port Extender (FPX) 1 Modular Design Techniques for the FPX.
December 04, 2013KM3NeT, CLBv2 Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Adapting the LHC 1TFB electronic circuit to other equipments The candidates are: PS 1TFB PS TFB PS CBFB PSB TFB PSB 1TFB 1 Alfred Blas Working group meeting.
January 28, 2015CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Trigger Meeting: Greg Iles5 March The APV Emulator (APVE) Task 1. –The APV25 has a 10 event buffer in de-convolution mode. –Readout of an event =
Part A Final Dor Obstbaum Kami Elbaz Advisor: Moshe Porian August 2012 FPGA S ETTING U SING F LASH.
1 Calorimeter electronics Upgrade Outcome of the meeting that took place at LAL on March 9th, 2009 Calorimeter Upgrade Meeting Barcelona March 10th-11st,
Digital Logic Design Lecture # 15 University of Tehran.
August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
DAQ interface + implications for the electronics Niko Neufeld LHCb Electronics Upgrade June 10 th, 2010.
July, IFIC (CSIC – Universidad de Valencia) CLB: MULTIBOOT 1.
LKr readout and trigger R. Fantechi 3/2/2010. The CARE structure.
January 28-30, 2014KM3NeT, Electronics Workshop A‘dam Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Receiving 91 PB this week: A little bit more of work load! (We will be for a while production guys :) Test bench separated in two parts: 1.- The PB will.
December 10, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
November 2014, Groningen/Dwingeloo, the Netherlands 3rd International VLBI Technology Workshop Peter Jansweijer Nikhef Amsterdam Electronics- Technology.
LM32 DEVELOPMENTS ONGOING WORK ON TDCs AND OTHER ISSUES (LM32) Diego Real David Calvo CLB group online meeting, 27 March
WINLAB Open Cognitive Radio Platform Architecture v1.0 WINLAB – Rutgers University Date : July 27th 2009 Authors : Prasanthi Maddala,
Genova May 2013 Diego Real – David Calvo IFIC (CSIC – Universidad de Valencia) CLBv2 1.
March 27, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Peter Jansweijer Nikhef Amsterdam Electronics- Technology November 21, 2012KM3NeT, CLBv2 Meeting via EVO KM3NeT CLBv2 1.
Data Link Layer.
PC-based L0TP Status Report “on behalf of the Ferrara L0TP Group” Ilaria Neri University of Ferrara and INFN - Italy Ferrara, September 02, 2014.
3 Dec, 2013 IFIC (CSIC – Universidad de Valencia) CLB: Current status and development.
Tiva C TM4C123GH6PM UART Embedded Systems ECE 4437 Fall 2015 Team 2:
May 8, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Peter Jansweijer Nikhef Amsterdam Electronics- Technology September 19, 2012KM3NeT, CLB/DAQ Videocon KM3NeT CLBv2 1.
July 31, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
1 Programming of FPGA in LiCAS ADC for Continuous Data Readout Week 4 Report Tuesday 22 nd July 2008 Jack Hickish.
October 29, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
April 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
September 11-12, 2013KM3NeT, CLBv2 Workshop Valencia Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
White Rabbit and KM3NeT Peter Jansweijer, on behalf of KM3NeT
KM3NeT CLBv2.
KM3NeT CLBv2.
KM3NeT CLBv2.
TELL1 A common data acquisition board for LHCb
Chapter 6 Delivery & Forwarding of IP Packets
Kostas Manolopoulos Tasos Belias
KM3NeT CLBv2.
MULTIBOOT AND SPI FLASH MEMORY
FIT Front End Electronics & Readout
STRUCTURE OF A ROUTER We represent a router as a black box that accepts incoming packets from one of the input ports (interfaces), uses a routing table.
STRUCTURE OF A ROUTER We represent a router as a black box that accepts incoming packets from one of the input ports (interfaces), uses a routing table.
STRUCTURE OF A ROUTER We represent a router as a black box that accepts incoming packets from one of the input ports (interfaces), uses a routing table.
TELL1 A common data acquisition board for LHCb
STRUCTURE OF A ROUTER We represent a router as a black box that accepts incoming packets from one of the input ports (interfaces), uses a routing table.
Presentation transcript:

June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1

June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology PAR ERROR: ◦ ERROR:Place: A clock IOB / BUFGCTRL clock component pair have been found that are not placed at an optimal clock IOB / BUFGCTRL site pair. The clock IOB component is placed at site. The corresponding BUFGCTRL component is placed at site. The clock IO can use the fast path between the IOB and the Clock Buffer if the IOB is placed on a Clock Capable IOB site that has dedicated fast path to BUFGCTRL sites in its half of the device (TOP or BOTTOM). You may want to analyze why this problem exists and correct it. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the.ucf file to demote this message to a WARNING and allow your design to continue. However, the use of this override is highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be corrected in the design. A list of all the COMP.PINs used in this clock placement rule is listed below. These examples can be used directly in the.ucf file to override this clock rule. PAR Error: 2

June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology Cause: RESET is connected to a “N” type Single Region Clock Capable (SRCC) pin. As the design grows, one needs to use the top & bottom part of the FPGA. Only “P” side pins can drive global clock nets. We already had this issue for CLK20_VCXO ◦ see slide 14: ◦ 0.pptx 0.pptx Solution: Swap FPGA pins for NRESET and RESET (note: NRESET connects to “P”) PAR Error: 3

June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology Vincent ran into memory limitations ◦ always the same story with these memory hungry software engineers… Increased from 88 Kbytes to 128 Kbytes ◦ BMM files for XST and Precision changed but nobody should have noticed this… LM32_2 nd Memory: 4

June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology With higher TDC rates in rare conditions… Missing either 1, 2 or 3, 16-bit words randomly Checksum: “unchecked, not all data available” UDP Length error: 5

June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology Who is to blame? ◦ TDC + StateMachine (unlikely)  It just forwards payload that will be counted by IPMUX ◦ IPMUX (unlikely)  The correct length word (counted by IPMUX) is in the header so IPMUX must have seen all data words… ◦ The interface between IPMUX and WR (maybe) ◦ WR endpoint (maybe) UDP Length error:

June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology Test to hunt for the UDP Length error: 7 Added UDP Length checker. Verification signalling (to Oscilloscope): Length Okay Length Error Test packet generator: 16 x okay 0 x error

June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology Test to hunt for the UDP Length error: 8 Only okay’s 0 triggers on error Conclusion: Up to the WRPC input all is okay! Focus on WRPC (endpoint)

June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology Further points checked: 9 Input ep_tx_frames (= WR MAC) Input 1000basex-PCS Input PHY All okay!? I must be doing something wrong… ◦ Sorry… Got the wrong file updated. ◦ => work in progress.

June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology While hunting down the UDP Length error issue: I had a simulation stall. IPMUX connects to the non empty input fifo of the TDC channel and starts reading packet payload. It keeps connected (there is no other way) until it completes the payload transfer (i.e. EOD). When for some reason no EOD is generated (or it takes a while, i.e. one time slice) then it keeps waiting, effectively blocking other inputs. Example case study: ◦ Long time slice with no data IPMUX is connected to TDC for the time slice duration ◦ During this time AES data should be buffered IPMUX arbitration 10

June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology Three parameters to optimize the dataflow: 1.Proper setting for the packet size. 2.Buffer sizes (either the TDC/AES fifos or the IPMUX input fifo) can help optimize the dataflow. 3.The arbitration scheme. Now all channels are served equally so one has to wait for the other. For the time being I see no problems but we should be aware of this behaviour! IPMUX arbitration 11

June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology Tommaso proposed to have no TDC-UDP data packets if there is no TDC data in the Time Slice. Do we want that behaviour? ◦ If we don’t send data each Time Slice (even if it is empty) then the DAQ cannot distinguish between data that is still in the pipeline or apparently the time slice was empty. => a timeout is needed. ◦ Sending an Time Slice packet that contains no TDC data at least tells the DAQ that it can continue with data processing. No timeout. Empty Time Slice to DAQ? 12

June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology ½ DOM electronics + readout EMC Climate Rate test Golden image ◦ Golden image reprogrammable itself? => Test! ◦ SFP wave length shift  Test: unplug CLB Tx fiber and see if we can switch a LED on/off (i.e. without upstream communication) PRR 13

June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology Pause frame implementation ◦ Gave some feedback to TIPP2014 ◦ Feedback 7-Sols to WR community? Calibration. Yes/no WR in the DOMs ◦ WR very handy for Dark Room Calibartion! ◦ Also handy for Dark Room Calibartion: Make PPS signal available with an assembled DOM (RF? Via Nano-Beacon?) Other topics that keep me busy 14 DU-Base WR- Calibrator Measure strings “constant” delays (to be considered as delta Rx for each of the DOMs)

June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology Backup slides 15

June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology Zoom into WRPC: 16 Added UDP Length checker. Verification signalling (to Oscilloscope): Length Okay Length Error Again: Only okay’s 0 triggers on error

June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology Zoom into WRPC endpoint: 17 okay Again: Only okay’s 0 triggers on error Ep_tx_framer snktxpcs_fabphy_tx Wr_gtx_phy_kintex7 okay ep_tx_pcs_16bit ep_1000basex_pcs serdes_tx pcs_fab errors