Institute of Microelectronics Dr Androula Nassiopoulou

Slides:



Advertisements
Similar presentations
MICROELECTROMECHANICAL SYSTEMS ( MEMS )
Advertisements

Nanoscience, Nanotechnology and Nanomanufacturing Exciting new science and technology for the 21st century.
Adhesive bonding Ville Liimatainen Contents Introduction – Adhesive bonding – Process overview – Main features Polymer adhesives Adhesive.
ECE 6466 “IC Engineering” Dr. Wanda Wosik
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #6.
1 NATIONAL SCIENTIFIC SOCIETY “MICRO & NANO” Established: July 2004 Founding members: 5 Institutions (Research Institutes,  5 Institutions (Research Institutes,
Solar Power Program Clara Paola Murcia S. BS in Electrical Engineering (Universidad de Los Andes) Concentration in Power Systems / Minor in BA Semiconductor.
Overview of Nanofabrication Techniques Experimental Methods Club Monday, July 7, 2014 Evan Miyazono.
New Trends and Technologies for (N)MEMS
Hello from Mike Deal at Stanford University - Senior Research Scientist at the Stanford Nanofabrication Facility V5.15.
Interconnect Focus Center e¯e¯ e¯e¯ e¯e¯ e¯e¯ SEMICONDUCTOR SUPPLIERS Goal: Fabricate and perform electrical tests on various interconnected networks of.
Microelectronics & Device Fabrication. Vacuum Tube Devices Thermionic valve Two (di) Electrodes (ode)
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #5.
NIST Nanofabrication Facility. CNST Nanofab A state-of-the-art shared-use facility for the fabrication and measurement of nanostructures –19,000 sq ft.
MEMs Fabrication Alek Mintz 22 April 2015 Abstract
MEMS Fabrication and Applications Brought to you by: Jack Link & Aaron Schiller Date delivered on: Friday the third of May, 2013 ABSTRACT: Taking a brief.
1 ME 381R Fall 2003 Micro-Nano Scale Thermal-Fluid Science and Technology Lecture 18: Introduction to MEMS Dr. Li Shi Department of Mechanical Engineering.
ES 176/276 – Section # 2 – 09/19/2011 Brief Overview from Section #1 MEMS = MicroElectroMechanical Systems Micron-scale devices which transduce an environmental.
McGill Nanotools Microfabrication Processes
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs RCAT approach 1 MonolithIC 3D Inc., Patents Pending.
Presentation for Advanced VLSI Course presented by:Shahab adin Rahmanian Instructor:Dr S. M.Fakhraie Major reference: 3D Interconnection and Packaging:
VFET – A Transistor Structure for Amorphous semiconductors Michael Greenman, Ariel Ben-Sasson, Nir Tessler Sara and Moshe Zisapel Nano-Electronic Center,
Avogadro-Scale Engineering: Form and Function MIT, November 18, Three Dimensional Integrated Circuits C.S. Tan, A. Fan, K.N. Chen, S. Das, N.
Presentation by Maria Rangoussi, Dean, Faculty of Engineering ( ( ) “SENS-ERA” Project Kick-off Meeting Georgian Technical.
Institute of Solid State Physics of the Bulgarian Academy of Sciences 1784 Sofia, 72, Tzarigradsko Chausse Fax: ;
NSF GOALI Interactions of Plasmas/Energetic Beams with Organic Masking Materials G. Oehrlein, D. Graves and E. Hudson DMR Fig 1: Simplified schematic.
.Abstract Field effect gas sensors based on zinc oxide were fabricated. In order to increase gas sensor’s sensitivity to carbon monoxide, Au nanoparticles.
Basic Nanotechnology EHS Awareness Basics of Chemical and Material Properties—Role of Scale Basics of Chemical and Material Properties—Role of Scale Chemical.
Chemical Vapor Deposition A Simple method of bottom up Fabrication.
Integrated Circuit Devices Professor Ali Javey Summer 2009 Fabrication Technology.
Nano/Micro Electro-Mechanical Systems (N/MEMS) Osama O. Awadelkarim Jefferson Science Fellow and Science Advisor U. S. Department of State & Professor.
1 New Materials, Surfaces and Sensing Applications Novel Functional Materials Intelligent Materials Surface Functionalisation Nanomaterials and Nanocoatings.
Chapter Extra-2 Micro-fabrication process
Techniques for Synthesis of Nano-materials
Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Class: ECE 6466 “IC Engineering”
Laboratory of Molecular Simulations of Nano- and Bio-Materials Venkat Ganesan “Where molecules and models meet applications” Computations Fluid Mechanics.
TO FILE OR NOT TO FILE? NAVAL RESEARCH LABORATORY RITA C. MANAK, Ph.D. HEAD, TECHNOLOGY TRANSFER OFFICE MIDATLANTIC REGIONAL MEETING SEPTEMBER 16, 2008.
INESC Microsystems and Nanotechnologies
IC Fabrication Overview Procedure of Silicon Wafer Production
1 3 MEMS FABRICATION Ken Gilleo PhD ET-Trends LLC 24%
1 FORTH’s Institutes Crete University Press Institute of ElectronicStructure & Lasers, Heraklion Institute of Computer Science, Heraklion Institute of.
ITC-irst Researchers 217 Post docs 13 PhD students MM area FCS – Physics & Chemistry of Surfaces and Interfaces MIS – Microsystems IT area SRA –
VCSEL Fabrication Processing
NEWATLASPIX: Development of new pixel detectors for the ATLAS experiment upgrade Giulio Pellegrini.
Passivation of HPGe Detectors at LNL-INFN Speaker: Gianluigi Maggioni Materials & Detectors Laboratory (LNL-INFN) Scientific Manager: Prof. Gianantonio.
Presented by Darsen Lu (3/19/2007)
SEMICONDUCTOR DEVICE FABRICATION AN OVERVIEW Presented to EE September 2015 by Stan Burns MWAH 153.
2. Design Determine grating coupler period from theory: Determine grating coupler period from theory: Determine photonic crystal lattice type and dimensions.
13 Nov Dimitris LOUKAS Name of the Institution : NCSR Demokritos Contact person: Dimitris LOUKAS
Etching: Wet and Dry Physical or Chemical.
Summer School in NCSR Demokritos Summer 2005 Methods in micro – nano technology and nanobiotechnology Organizer: National Center for Scientific Research.
Saptarshi Das, PhD 2. Adjunct Birck Research Scholar Birck Nanotechnology Center Purdue University West Lafayette, Indiana Post-doctoral Research.
Micro Electro Mechanical Systems (MEMS) Device Fabrication
1 Device Fabrication And Diffusion Overview 5 and 8 February 2016 Silicon Wafer Production-Refer to Chapter “0” Prologue Raw material ― Polysilicon nuggets.
Preshower PRR July NCSR DEMOKRITOS Production Center : Institute of Microelectronics (IMEL) Regional Center : Institute of Nuclear Physics (INP)
Evaluation of Polydimethlysiloxane (PDMS) as an adhesive for Mechanically Stacked Multi-Junction Solar Cells Ian Mathews Dept. of Electrical and Electronic.
Nanotechnologies for Electronics
Etching Processes for Microsystems Fabrication
UV-Curved Nano Imprint Lithography
Fabrication By Thomas Szychowski.
4-year PhD in Nanoscience
SILICON MICROMACHINING
Device Fabrication And Diffusion Overview
National Nanotechnology Infrastructure Network
Microelectronics Research Group
IC Fabrication Overview Procedure of Silicon Wafer Production
Metal Assisted Chemical Etching (MacEtch)
Device Fabrication And Diffusion Overview
Thomas A. Cellucci, Ph.D., MBA President
Presentation transcript:

Institute of Microelectronics Dr Androula Nassiopoulou NCSR Demokritos Dr Androula Nassiopoulou Director

ORGANIZATIONAL STRUCTURE OF NCSR DEMOKRITOS Ministry of Development General Secretariat for Research and Technology NCSR DEMOKRITOS Central administration Department for Technical Support Secretariat of Special Accounts Liaison Office Technology Park Institute of Microelectronics (IMEL) Institute of Nuclear Physics Institute of Nuclear Technology Institute of Materials Science Institute of Chemistry Institute of Informatics and Telecommunications Institute of Biology Institute of Radioisotopes & Radiodiagnostic Products

ACADEMIC AND TECHNOLOGICAL EXCELLENCE AT IMEL 20 years of research and technology development Achievements: Infrastructure and research facilities for Micro and Nanotechnology unique in Greece Fully equipped silicon processing laboratory E-beam lithography equipment Micromachining and Sensor laboratory Fully equipped laboratory for characterization of materials, devices and structures Important know-how Experienced personnel An Intellectual property portfolio, which continues to expand

IMEL Institute Director Program I: Micro and Nanotechnologies International Scientific Advisory Committee Institute Administration and Technical Support Institute Advisory Board Secretariat Managerial office - provisions Education and training Group of technicians for technical support Program I: Micro and Nanotechnologies Program III: Micro and Nano-Systems Program II: Micro and Nanoelectronics Services in Micro, Nanotechnologies and Microsystems Central facilities for Silicon Processing

PATTERNING TECHNOLOGIES Lithography and Plasma Etching FOCUS OF RESEARCH Development of novel materials, processes and process simulation methods for micro and nanofabrication Key Researchers: M. Hatzakis, IBM fellow and ex-director of IMEL E. Gogolides P. Argitis N. Glezos

Frontiers in Lithography (193 / 157nm, EUV, e-beam), Nano-patterning, MEMS and BioMEMS patterning Smaller Devices  Smaller λ in optical lithography:193, 157nm, EUV, e-beam Bio Mems Patterning Need to develop new resists and processes Thick (0.4μm) to Ultra thin (0.15μm) resist films Need to increase plasma resistance of photoresists Critical dimension becomes less than 70nm Need to Reduce Line Edge Roughness The problems Develop new resists: aliphatic to fluorinated or Silicon containing polymers Develop new environmentally friendly and biocompatible photoresists Add etch resistance compounds in resist formulation Understand and Simulate Line Edge Roughness using Fractal Theory Develop lithography and plasma etching simulators Develop new etching processes for MEMS and Nanotechnology The solutions

Frontiers in Optical Lithography (193 - 157 nm) New resists for 193nm lithography Single layer acrylate. Positive, 93nm lines, Negative 150nm lines; P. Argitis et al. Greek Patent C. Diakouakos et al. Microelec. Engng. 2001 Bilayer resist for 157nm based on Siloxanes. 70nm lines A. Tserepi et al., J. Vac. Sci. Technol. Nov. 2000, Microelec. Engng. 2001 Novel etch resistance compounds synthesized for use as resist additives

Si and SiO2 Etching in Fluorocarbon Plasmas Dielectric Etching, Optoelectronics and MEMS etching Fluorocarbon plasma and HDP reactors used Both Experimental and Theoretical Work Many problems (RIE lag, etch stop, Inverse RIE lag, roughness) New processes needed in ICP tools, with reduced roughness, for both MEMS and Nanotechnology Detailed models for etching in fluorocarbon plasmas Prediction of ASPECT RATIO dependent phenomena

SEMICONDUCTOR NANOSTRUCTURES SEMICONDUCTOR NANOSTRUCTURES. Materials, Processes, Properties and Nanoelectronic Devices FOCUS OF RESEARCH Nanopatterning (nanostructuring using the “top-down” approach) Silicon nanocrystal growth and characterization Si/SiO2 multilayers and superlattices Si/CaF2 multilayers and superlattices Silicon and Germanium nanocrystals in SiO2 by different techniques Nanoelectronic devices for memory, light emitting and other applications Theoretical work, process and device modeling Key Researchers: A.G. Nassiopoulou D. Tsoukalas P. Normand

Candidates for Non-Volatile Dynamic Memory Applications Si-Nanocrystal MOS Memory Devices Obtained by Low-Energy Ion-Beam-Synthesis Candidates for Non-Volatile Dynamic Memory Applications ESSDERC’2000, Appl. Phys. Lett. 2000

SILICON SENSORS AND MICROSYSTEMS FOCUS OF RESEARCH Silicon micromachining techniques and processes Silicon sensor devices and microsystems Modeling, characterization and testing of sensors Microsystem design, fabrication and characterization Development of read-out electronics and packaging

NOVEL PROCESS FOR THE FABRICATION OF SUSPENDED MEMBRANES FOR THERMAL SENSORS The process is based on the isotropic etching of silicon using High Density Plasma etching. High lateral etch rates can be achieved (6-7μm/min). The process is CMOS compatible. Oxide/nitride membranes with dimensions 100x100μm2, can be easily fabricated. 100μm

WAFER BONDING USED IN SENSOR TECHNOLOGY Apply wafer bonding technique Pressure sensors Dry release processes and vapour sensing A technology to combine heterogeneous functions

3D View of pressure Sensor SEM cross section images

COMBINING DRY RELEASED CANTILEVERS WITH POLYMERS TO MEASURE HUMIDITY Parallel beam to substrate Stress effect

INTEGRATED SILICON OPTICAL BIOSENSORS Monolithically Integrated Silicon Light Emitters, Optical Fibers and Detectors. Optical Coupling Efficiency 40%. High Stable and Repeatable Measurements of the Detector Photocurrent. Five Mask Process with Standard IC Technology

MICROELECTRONIC DESIGN FOR FUTURE OE LINKS FOCUS OF RESEARCH Develop innovative ICs for optoelectronic links Implement phenomenological models for optoelectronic devices (photodiodes, VCSELs, etc.) in IC design environment Develop a wafer-scale integration technology for high-density OE links

IMEL’s infrastructure 1 Silicon processing equipment (unique in Greece) Clean room area of 300 m2 Laminal flow chemical benches Thermal processing Chemical Vapor Deposition Thermal evaporation (sputtering, e- gun evaporation) Ion implantation Optical lithography systems Electron beam lithography system Plasma Processing (RIE, ICP) Process Inspection equipment

IMEL’s infrastructure 2 Characterization equipment Electrical characterization equipment Probe stations Optical characterization Microscopy equipment, ellipsometry Sensor characterization (gas, flow, pressure) Packaging equipment (Dicing saw, wire bonding, die bonder) Chemistry laboratory Design and modeling / simulation tools 7 Workstations (2HP C160, 1HP 9000/785, 2 Sun Sparc 20, 2 Sun Sparc Ultra 1, 1HP 9000/720) Design software (Mentor graphics: 4 seats, Cadence: 2 seats, synopsis: 2 seats, orcad for PCBs), MEMCAD 4.6, ANSYS and Silvaco softwares.

PERSONNEL Total Research Scienctists 11 Research engineers 2 Post doctoral scientists 6 Phd students Technicians 3 Administrative personnel Personnel on contract: a) Scientific b) Technicians 4 Total 45

EDUCATION AND TRAINING DEVELOPMENT OF HUMAN RESOURCES IMEL COORDINATES AN EDUCATIONAL PROGRAMME (EPEAEK) ON MICROELECTRONICS FOR MASTER AND PhD DEGREES-IN COLLABORATION WITH THE UNIVERSITY OF ATHENS Start-date :1/10/1998 Duration of the programme related to the MSc degree : 18 months Duration of PhD cycle : 4 years Number of students : 15 / year IMEL is partly engaged in two other MSc and PhD programs in collaboration with the National Technical University of Athens (New materials) and the University of Patras (System design) respectively. IMEL organizes every year : Summer Schools on selected areas, addressed to graduate students. Training courses, addressed to research scientists, engineers and technicians. Conferences, workshops etc.

A CENTER OF EXCELLENCE IN MICRO, NANOTECHNOLOGIES AND MICROSYSTEMS YEAR 2002 A CENTER OF EXCELLENCE IN MICRO, NANOTECHNOLOGIES AND MICROSYSTEMS AT IMEL/NCSR “DEMOKRITOS” A Center of Excellence in Micro, Nanotechnologies and Microsystems has been established in the year 2002 at IMEL/NCSR “Demokritos”, supported by the Greek General Secretariat for Research and Technology in the Ministry of Development.

MAIN OBJECTIVES To further promote long term research into understanding phenomena, mastering processes and developing research tools. To promote development of fundamental knowledge To promote development of novel products and production processes To develop human potential by educational and training activities To develop the access to services in advanced processes and high technology To promote the transfer of technology to industry. To further promote cooperative research and technological and educational activities

RESEARCH ACTIVITIES IN THE ABOVE FIELDS Micro and Nanofabrication Optical lithography and lithographic materials Electron beam lithography. Etching processes Thermal processes Thin film deposition techniques Nanoelectronic devices for integrated circuits Single electron transistors, resonant tunneling devices, molecular devices and interconnections of devices Optical and optoelectronic links Self-assembled building blocks for nanoscale ICs New electronic materials Seniconductor nanocrystals and other low dimensional structures in different isolating matrices Molecular materials Microsystems and Sensors

MMN Greek Network on Microelectronics, Microsystems and Nanotechnology Main objectives To promote collaboration between all national organizations involved in Microelectronics, including research centers, universities and private sector. To develop mechanisms to promote awareness of worldwide scientific and technological development To develop cooperative educational and training activities, in order to increase the human potential of trained people in the above technologies