1 VLSI DESIGN USING VHDL Part II A workshop by Dr. Junaid Ahmed Zubairi.

Slides:



Advertisements
Similar presentations
VHDL Lecture 1 Megan Peck EECS 443 Spring 08.
Advertisements

Multiplexers Section 3-7 Mano & Kime. Multiplexers & Demultiplexers Multiplexers (Selectors) Lab 1 – Behavioral VHDL -- Multiplexers MUX as a Universal.
Quad 2-to-1 and Quad 4-to-1 Multiplexers Discussion D2.4 Example 7.
Arbitrary Waveform Discussion 5.5 Example 34.
Ring Counter Discussion D5.3 Example 32. Ring Counter if rising_edge(CLK) then for i in 0 to 2 loop s(i)
Generic Multiplexers: Parameters Discussion D2.5 Example 8.
2-to-1 Multiplexer: if Statement Discussion D2.1 Example 4.
Logic Design Fundamentals - 3 Discussion D3.2. Logic Design Fundamentals - 3 Basic Gates Basic Combinational Circuits Basic Sequential Circuits.
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
Registers VHDL Tutorial R. E. Haskell and D. M. Hanna T2: Sequential Logic Circuits.
1 VLSI DESIGN USING VHDL A workshop by Dr. Junaid Ahmed Zubairi October 2002.
Integer Square Root.
Simple Sequential Circuits in VHDL. Contents Sequential circuit examples: - SR latch in dataflow style - D flip-flop in behavioral style - shift register.
6/12/20151 Sequence Detectors Lecture Notes – Lab 4 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
FPGAs and VHDL Lecture L12.1. FPGAs and VHDL Field Programmable Gate Arrays (FPGAs) VHDL –2 x 1 MUX –4 x 1 MUX –An Adder –Binary-to-BCD Converter –A Register.
Counters Discussion D5.3 Example 33. Counters 3-Bit, Divide-by-8 Counter 3-Bit Behavioral Counter in Verilog Modulo-5 Counter An N-Bit Counter.
Introduction to VHDL Multiplexers. Introduction to VHDL VHDL is an acronym for VHSIC (Very High Speed Integrated Circuit) Hardware Description Language.
Introduction to VHDL CSCE 496/896: Embedded Systems Witawas Srisa-an.
4-to-1 Multiplexer: case Statement Discussion D2.3 Example 6.
Kazi Fall 2006 EEGN 4941 EEGN-494 HDL Design Principles for VLSI/FPGAs Khurram Kazi Some of the slides were taken from K Gaj’s lecture slides from GMU’s.
Introduction to VHDL Multiplexers Discussion D1.1.
1 DIGITAL DESIGN WITH QUARTUS WORKSHOP by Dr. Junaid Ahmed Zubairi Dept of Computer Science SUNY at Fredonia, Fredonia NY.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448.
EE 367 – Logic Design Lecture #17
CSET 4650 Field Programmable Logic Devices Dan Solarek VHDL Behavioral & Structural.
4-bit Shift Register. 2-bit Register Serial-in-serial-out Shift Register.
A.7 Concurrent Assignment Statements Used to assign a value to a signal in an architecture body. Four types of concurrent assignment statements –Simple.
CprE / ComS 583 Reconfigurable Computing Prof. Joseph Zambreno Department of Electrical and Computer Engineering Iowa State University Lecture #17 – Introduction.
VHDL in 1h Martin Schöberl. AK: JVMHWVHDL2 VHDL /= C, Java,… Think in hardware All constructs run concurrent Different from software programming Forget.
ENG2410 Digital Design LAB #6 LAB #6 Sequential Logic Design (Flip Flops)
Copyright © 1997 Altera Corporation & 提供 What is VHDL Very high speed integrated Hardware Description Language (VHDL) –is.
1 ECE 545 – Introduction to VHDL ECE 545 Lecture 4 Behavioral & Structural Design Styles.
ENG241 Digital Design Week #8 Registers and Counters.
VHDL for Combinational Circuits. VHDL We Know Simple assignment statements –f
Mixed Style RTL Modeling
ECE 332 Digital Electronics and Logic Design Lab Lab 6 Concurrent Statements & Adders.
ECE 331 – Digital System Design Multiplexers and Demultiplexers (Lecture #13)
2’s Complement 4-Bit Saturator Discussion D2.8 Lab 2.
4-to-1 Multiplexer: Module Instantiation Discussion D2.2 Example 5.
 Seattle Pacific University EE Logic System DesignCounters-1 Shift Registers DQ clk DQ DQ ShiftIn Q3Q3 Q2Q2 DQ Q1Q1 Q0Q0 A shift register shifts.
1 Part III: VHDL CODING. 2 Design StructureData TypesOperators and AttributesConcurrent DesignSequential DesignSignals and VariablesState Machines A VHDL.
9/9/2006DSD,USIT,GGSIPU1 Concurrent vs Sequential Combinational vs Sequential logic –Combinational logic is that in which the output of the circuit depends.
CS/EE 3700 : Fundamentals of Digital System Design
CEC 220 Digital Circuit Design VHDL in Sequential Logic Wednesday, March 25 CEC 220 Digital Circuit Design Slide 1 of 13.
George Mason University Behavioral Modeling of Sequential-Circuit Building Blocks ECE 545 Lecture 8.
Lecture #17 Page 1 ECE 4110–5110 Digital System Design Lecture #17 Agenda 1.MSI Multiplexers 2.MSI Encoders Announcements Test 1 closed book, Wednesday.
Registers and Counters Discussion D8.1. Logic Design Fundamentals - 3 Registers Counters Shift Registers.
1 Introduction to Engineering Spring 2007 Lecture 19: Digital Tools 3.
Combinational logic circuit
LAB #6 Sequential Logic Design (Flip Flops, Shift Registers)
Describing Combinational Logic Using Processes
Registers and Counters
ECE 4110–5110 Digital System Design
Part II A workshop by Dr. Junaid Ahmed Zubairi
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
Part IV: VHDL CODING.
Combinational Circuits Using VHDL
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
VHDL (VHSIC Hardware Description Language)
VHDL Structural Architecture
Concurrent vs Sequential
Modeling of Circuits with a Regular Structure
Figure 8.1. The general form of a sequential circuit.
Modeling of Circuits with Regular Structure
CprE / ComS 583 Reconfigurable Computing
Sequntial-Circuit Building Blocks
디 지 털 시 스 템 설 계 UP2 Kit를 이용한 카운터 설계
Digital Logic with VHDL
(Sequential-Circuit Building Blocks)
Presentation transcript:

1 VLSI DESIGN USING VHDL Part II A workshop by Dr. Junaid Ahmed Zubairi

2 Workshop Outline  Introduction to the workshop and setting targets  Combinational and sequential logic  Max+plusII package features and usage guide  Hands on VHDL (Lab1)  VHDL design units  Designing a simple circuit and its testing (Lab2)  Design of a sequential logic circuit (lab3)  Design project

3 Some Example Designs  A multiplexer is a circuit that has several inputs and only one output line  One of the inputs is selected using selection lines for onward connection with the output  In VHDL, multiplexers can be achieved using conditional assignment statements

4 A 4-to-1 Multiplexer  library ieee;  use ieee.std_logic_1164.all;  entity mux4to1 is  port (Sel:in std_logic_vector(0 to 1);  A:in std_logic_vector(0 to 3); Y:out std_logic);  end mux4to1;  architecture mux1 of mux4to1 is  begin  Y <= A(0) when Sel = ’00’ else  A(1) when Sel = ’01’ else  A(2) when Sel = ’10’ else  A(3) when others;  end mux1;

5 An 8-Bit Register With Asynchronous Reset  library ieee;  use ieee.std_logic_1164.all;  entity reg8 is  port (D:in std_logic_vector(7 downto 0);  reset,clk:in std_logic; Q:out std_logic_vector(7 downto 0));  end reg8;  architecture myreg of reg8 is  begin  process(reset,clk)  begin  if reset= '0' then Q<= " ";  elsif clk'event and clk='1' then Q<=D;  end if;  end process;  end myreg;

6 Generate Statements  You can generate several components using for..generate statements in VHDL  For example, derive a 16-to-1 Multiplexer from the given 4-to-1 Multiplexer  The source code is given

7 Generate Statements  library ieee;  use ieee.std_logic_1164.all;  entity mux16to1 is  port (Sel:in std_logic_vector(0 to 3);  A:in std_logic_vector(0 to 15); Y:out std_logic);  end mux16to1;  architecture mux2 of mux16to1 is  Begin  Signal m:std_logic_vector(0 to 3);  Component mux4to1 is  Port (Sel:in std_logic_vector(0 to 1);  A:in std_logic_vector(0 to 3); Y:out std_logic);  end component;

8 Generate Statement  Begin  G1: for I in 0 to 3 generate  Muxes: mux4to1 port map (Sel(0 to 1), A(4*i to 4*i+3), m(i));  End generate;  Mux5: mux4to1 port map (Sel(2 to 3), m(0 to 3), Y);  End structure;

9 Design Project  Using the 4-bit comparator designed earlier, develop a circuit that contains a comparator and a 4-bit register. The register will be loaded with a 4-bit number with the rising edge of the clock. Another four bit number will be applied directly to the other input of the comparator. Show the results