Top-level VHDL Designs

Slides:



Advertisements
Similar presentations
Digital Logic with VHDL EE 230 Digital Systems Fall 2006 (10/17/2006)
Advertisements

Quad 2-to-1 and Quad 4-to-1 Multiplexers Discussion D2.4 Example 7.
Arbitrary Waveform Discussion 5.5 Example 34.
Edge-Triggered D Flip-Flops Discussion D4.2 Example 26.
Multiplexer as a Universal Element Discussion D2.6 Example 9.
7-Segment Display: Spartan-3 board
1 VLSI DESIGN USING VHDL Part II A workshop by Dr. Junaid Ahmed Zubairi.
Shifters Discussion D7.1 Example Bit Shifter.
Divider Discussion D7.3 Example 20.
Ring Counter Discussion D5.3 Example 32. Ring Counter if rising_edge(CLK) then for i in 0 to 2 loop s(i)
Adder Discussion D6.2 Example 17. s i = c i ^ (a i ^ b i ) c i+1 = a i * b i + c i * (a i ^ b i ) Full Adder (Appendix I)
Generic Multiplexers: Parameters Discussion D2.5 Example 8.
2-to-1 Multiplexer: if Statement Discussion D2.1 Example 4.
Decoders and Encoders Lecture L4.2. Decoders and Encoders Binary Decoders Binary Encoders Priority Encoders.
A Simple Microcontroller VHDL Tutorial R. E. Haskell and D. M. Hanna T6: VHDL State Machines.
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
Registers VHDL Tutorial R. E. Haskell and D. M. Hanna T2: Sequential Logic Circuits.
Single-Cycle Instructions VHDL Tutorial R. E. Haskell and D. M. Hanna T5: VHDL ROM.
Digilent Spartan 3 Board Lecture L2.2
Structural VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T3: ALU Design.
Finite State Machines Discussion D7.1 Mealy and Moore Machines.
7-Segment Display DIO1 Board. Digilab2 – DIO1 Boards Four 7-segment displays A0A1A2A3.
Introduction to VHDL Multiplexers. Introduction to VHDL VHDL is an acronym for VHSIC (Very High Speed Integrated Circuit) Hardware Description Language.
Lab 2 4-Bit Adder Digilent Spartan 3 Board Lecture L2.3.
Division Lecture L6.3. Division
4-to-1 Multiplexer: case Statement Discussion D2.3 Example 6.
Digilab 7-Segment Displays Lab 4. selyInstruction name “000”true if b = a false otherwise = “001”true if b /= a false otherwise “010”true if b < a.
Switches, Pushbuttons, and LEDs Digilent Spartan 3 Board Lecture L2.1.
Finite State Machines Discussion D8.1 Example 36.
Digilent Spartan 3 Board Discussion D3.3
7-Segment Displays Digilent Spartan 3 Board Discussion DS-4.2.
Introduction to VHDL Multiplexers Discussion D1.1.
Division Discussion D11.3. Division
7-Segment Displays VHDL Tutorial R. E. Haskell and D. M. Hanna T4: Xilinx LogiBLOX.
Top-level Verilog Designs Discussion D9.1 Example 12.
4-Bit Binary-to-BCD Converter: case Statement
Shift Registers Discussion D5.2 Example Bit Shift Register qs(3) qs(2) qs(1) qs(0) if rising_edge(CLK) then for i in 0 to 2 loop s(i) := s(i+1);
ECE 448: Spring 12 Lab 4 – Part 2 Finite State Machines Basys2 FPGA Board.
4-bit Shift Register. 2-bit Register Serial-in-serial-out Shift Register.
Figure 5.1 Conversion from decimal to binary. Table 5.1 Numbers in different systems.
1 Part I: SYSTEM DESIGN. 2 Packages and Components Functions and Procedures Problem (Design & Implementation) Additional System Designs.
ENG2410 Digital Design LAB #8 LAB #8 Data Path Design.
ECE 448: Spring 11 Lab 3 Part 1 Sequential Logic for Synthesis.
VHDL for Combinational Circuits. VHDL We Know Simple assignment statements –f
ENG2410 Digital Design LAB #5 Modular Design and Hierarchy using VHDL.
Digital Systems Design VHDL simulation of a 3 – Bit Binary Decoder with Enable by Marc A. Mackey.
ECE 331 – Digital System Design Multiplexers and Demultiplexers (Lecture #13)
Introduction to FPGA Tools
2’s Complement 4-Bit Saturator Discussion D2.8 Lab 2.
4-to-1 Multiplexer: Module Instantiation Discussion D2.2 Example 5.
Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
2/10/07DSD,USIT,GGSIPU1 BCD adder KB3B2B1B0CD3D2D1D
9/9/2006DSD,USIT,GGSIPU1 Concurrent vs Sequential Combinational vs Sequential logic –Combinational logic is that in which the output of the circuit depends.
CS/EE 3700 : Fundamentals of Digital System Design
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
LAB #5 Modular Design and Hierarchy using VHDL
Combinational logic circuit
LAB #4 Xilinix ISE Foundation Tools VHDL Design Entry “A Tutorial”
Describing Combinational Logic Using Processes
ENG6530 Reconfigurable Computing Systems
Combinational Circuits Using VHDL
Getting Started with Vivado
VHDL Structural Architecture
Concurrent vs Sequential
A Greatest Common Divisor (GCD) Processor
ECE 331 – Digital System Design
Fast, Asynchronous SRAM
4-Input Gates VHDL for Loops
디 지 털 시 스 템 설 계 UP2 Kit를 이용한 카운터 설계
Digital Logic with VHDL
Presentation transcript:

Top-level VHDL Designs Discussion D3.2 Example 12

Top-level design of a quad 2-to-1 MUX and a hex to 7-segment decoder

-- Example 12: Top-level design library IEEE; use IEEE.STD_LOGIC_1164.all; entity mux7seg is port( btn0 : in STD_LOGIC; sw : in STD_LOGIC_VECTOR(7 downto 0); a_to_g : out STD_LOGIC_VECTOR(6 downto 0); dp : out STD_LOGIC; an : out STD_LOGIC_VECTOR(3 downto 0) ); end mux7seg;

architecture mux7seg of mux7seg is component mux24a port( s : in std_logic; a : in std_logic_vector(3 downto 0); b : in std_logic_vector(3 downto 0); y : out std_logic_vector(3 downto 0)); end component; component hex7seg x : in std_logic_vector(3 downto 0); a_to_g : out std_logic_vector(6 downto 0)); signal y: STD_LOGIC_VECTOR(3 downto 0);

begin an <= "0000"; -- turn on all 4 digits dp <= '1'; -- decimal points off MUX1 : mux24a port map( s => btn0, a => sw(3 downto 0), b => sw(7 downto 4), y => y ); d74 : hex7seg x => y, a_to_g => a_to_g end mux7seg;

Aldec Active-HDL Simulation

.ucf file NET “an<0>" LOC = "E13" ; NET "an<1>" LOC = "F14" ; NET "an<2>" LOC = "G14" ; NET "an<3>" LOC = "d14" ; NET “a_to_g<6>" LOC = "E14" ; NET "a_to_g<5>" LOC = "G13" ; NET "a_to_g<4>" LOC = "N15" ; NET "a_to_g<3>" LOC = "P15" ; NET "a_to_g<2>" LOC = "R16" ; NET "a_to_g<1>" LOC = "F13" ; NET "a_to_g<0>" LOC = "N16" ; NET "dp" LOC = "P16" ;

Spartan 3 Board Note that all digits will display the same value