Introduction to Verilog (Behavioral Modeling). Agenda Gate Delays and User-Defined Primitives Behavioral Modeling Design Examples Hands-on Practice.

Slides:



Advertisements
Similar presentations
UNIT 8: Synthesis Basics
Advertisements

Chapter 3 Gate-Level Minimization
Chapter 3 Gate-Level Minimization
VERILOG: Synthesis - Combinational Logic Combination logic function can be expressed as: logic_output(t) = f(logic_inputs(t)) Rules Avoid technology dependent.
Verilog Section 3.10 Section 4.5. Keywords Keywords are predefined lowercase identifiers that define the language constructs – Key example of keywords:
Verilog Fundamentals Shubham Singh Junior Undergrad. Electrical Engineering.
Verilog in transistor level using Microwind
Verilog.
The Verilog Hardware Description Language
5.4 Decoders A decoder is a multiple-input, multiple-output logic circuit that converts coded inputs into coded outputs, where the input and output codes.
Supplement on Verilog adder examples
Combinational Logic.
ELEN 468 Lecture 21 ELEN 468 Advanced Logic Design Lecture 2 Hardware Modeling.
7-Segment Displays Lecture L6.1 Section 6.3. Turning on an LED.
COE 202: Digital Logic Design Combinational Circuits Part 1
Verilog Intro: Part 1.
Hardware Description Language (HDL)
16/04/20151 Hardware Descriptive Languages these notes are taken from Mano’s book It can represent: Truth Table Boolean Expression Diagrams of gates and.
Full Adder Section 4.5 Spring, 2014 J.Ou. Schedule 62/3MondayBinary addition: full adder 72/5WednesdayBinary addition: full adder/four-bit adder L2/6ThursdayLab.
SYEN 3330 Digital SystemsJung H. Kim Chapter SYEN 3330 Digital Systems Chapters 4 – Part3: Verilog – Part 1.
How to get a Circuit in verilog converted to hspice, connected to the micron package models, and simulating in hspice and hsimplus.
ECE 551 Digital System Design & Synthesis Lecture 08 The Synthesis Process Constraints and Design Rules High-Level Synthesis Options.
1 Workshop Topics - Outline Workshop 1 - Introduction Workshop 2 - module instantiation Workshop 3 - Lexical conventions Workshop 4 - Value Logic System.

ENGIN112 L38: Programmable Logic December 5, 2003 ENGIN 112 Intro to Electrical and Computer Engineering Lecture 38 Programmable Logic.
ECE 353 Computer Systems Lab I Verilog Hardware Description Language.
Combinational Logic Discussion D2.5. Combinational Logic Combinational Logic inputsoutputs Outputs depend only on the current inputs.
Altera’s Quartus II Installation, usage and tutorials Gopi Tummala Lab/Office Hours : Friday 2:00 PM to.
2-to-1 Multiplexer: if Statement Discussion D7.1 Example 4.
Hardware Description Language HDL. 2 Hardware Description Language HDL  Describes circuits and systems in text. −As a software program.  Can be processed.
ELEN 468 Advanced Logic Design
CS 151 Digital Systems Design Lecture 38 Programmable Logic.
Spring 2002EECS150 - Lec0-intro Page 1 EECS150 - Digital Design Lecture 8 - Hardware Description Languages February 14, 2002 John Wawrzynek.
Introduction to Basys 2. Switches Slide switchesPush button switches.
Figure 1.1 The Altera UP 3 FPGA Development board
Engineering 100 Section 250 Combinational Logic -- Examples 9/13/2010.
Electronic Design Automation. Course Outline 1.Digital circuit design flow 2.Verilog Hardware Description Language 3.Logic Synthesis –Multilevel logic.
1 VERILOG Fundamentals Workshop סמסטר א ' תשע " ה מרצה : משה דורון הפקולטה להנדסה Workshop Objectives: Gain basic understanding of the essential concepts.
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
 Delay values control the time between the change in a right-hand-side operand and when the new value is assigned to the left- hand side.  Three ways.
Figure 6.1. A 2-to-1 multiplexer.
Synthesis Presented by: Ms. Sangeeta L. Mahaddalkar ME(Microelectronics) Sem II Subject: Subject:ASIC Design and FPGA.
COE 405 Introduction to Logic Design with Verilog
C ONTINUOUS A SSIGNMENTS. C OMBINATIONAL L OGIC C IRCUITS each output of a Combinational Logic Circuit  A function of the inputs - Mapping functions.
CPEN Digital System Design
Module 1.2 Introduction to Verilog
1 CSE-308 Digital System Design (DSD) N-W.F.P. University of Engineering & Technology, Peshawar.
Slide 1 2. Verilog Elements. Slide 2 Why (V)HDL? (VHDL, Verilog etc.), Karen Parnell, Nick Mehta, “Programmable Logic Design Quick Start Handbook”, Xilinx.
ELEN 468 Lecture 131 ELEN 468 Advanced Logic Design Lecture 13 Synthesis of Combinational Logic II.
LECTURE III INTRODUCTION TO HDL/VERILOG. HDL: Hardware Description Languages (Verilog for this class) are a way in which digital circuits can be described.
M.Mohajjel. Objectives Learn How to write synthesizable Verilog code Common mistakes and how to avoid them What is synthesized for what we code Digital.
HDL Model Combinational circuits. module halfadder(s, cout, a, b); input a, b; output s, cout; xor g1(s, a, b); and g2(cout, a, b); endmodule.
BCD S EVEN SEGMENT D ECODER. I NTRODUCTION  A Decoder IC, is a device which converts one digital format into another and the most commonly used device.
Introduction to Verilog Section Outline Set Up the Environment Your First Verilog File Set Up the Test Bench Running the Simulation.
Multiplexers Section Topics Multiplexers – Definition – Examples – Verilog Modeling.
COE 202 Introduction to Verilog Computer Engineering Department College of Computer Sciences and Engineering King Fahd University of Petroleum and Minerals.
Chapter1: Introduction Digital System Designs and Practices Using Verilog HDL and 2008~2010, John Wiley 1-1 Chapter 1: Introduction Prof. Ming-Bo.
ASIC/FPGA design flow. Design Flow Detailed Design Detailed Design Ideas Design Ideas Device Programming Device Programming Timing Simulation Timing Simulation.
LECTURE VI USER DEFINED PRIMITIVES GATE-LEVEL MODELING.
Full Adder Verilog(HO: wires/regs, always) Section 4.5 (Full adder)
Introduction to Verilog COE 202 Digital Logic Design Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals.
TODAY’S OUTLINE Introduction to Verilog Verilog coding format
Figure 1.1 The Altera UP 1 CPLD development board.
Verilog-HDL-1 by Dr. Amin Danial Asham.
Hardware Descriptive Languages these notes are taken from Mano’s book
Introduction to Verilog
Basic Logic Gates and Truth Tables
Hardware Descriptive Languages these notes are taken from Mano’s book
Design Example “Date of Birth Problem”
The Verilog Hardware Description Language
Presentation transcript:

Introduction to Verilog (Behavioral Modeling)

Agenda Gate Delays and User-Defined Primitives Behavioral Modeling Design Examples Hands-on Practice

Gate Delays and User- Defined Primitives

Gate Delays Physical circuits exhibit propagation delay Therefore, necessary to specify delays in simulation Association of time unit with Physical time through compiler directive ‘timescale 1ns/100ps Compiler directive Unit of measurement Round-off unit What if no timescale is specified?

Gate-level model with propagation delays module cct_prop_delay(A,B,C,D,E) output D,E; input A,B,C; wire w1; and #(30) G1(w1,A,B); not #(10) G2 (E,C); or #(20) G3 (D,w1,E); endmodule

Output of Gates after Gate Delays Time units (ns) Inputs A B C Outputs E=C’ w1=A.B D=w1+E 10ns 30ns 20ns

Output of Gates after Gate Delays

User-Defined Primitives UDP and system primitive UDP specification in terms of tabular form Rules for defining primitives 1. Keyword pair ---- primitive and endprimitive 2. One output listed first in the port list 3. The order of the inputs must conform with the one in the table that follows 4. Truth table keyword pair--- table and endtable 5. Values of inputs ending with colon following an output

Verilog model: (OR Gate) primitive UDP_123(C,A,B); output C; input A,B; table // A B : C 0 0 : 0; 0 1 : 1; 1 0 : 1; 1 1 : 1; endtable endprimitive

Verilog model:

LED Decoder Design LED DECODER switch1 switch2 switch3 seg0 seg1 seg2 seg3 seg4 seg5 seg6 seg0 seg1seg2 seg6 seg4seg5 seg3 Seven Segment LED

3-bit InputLED Display Seg[ ]

Verilog module module test8bit(switch,seg); input [2:0]switch; output [7:0]seg; reg [7:0] seg; begin case(switch) 3'b000: seg=8'b ; 3'b001: seg=8'b ; 3'b010: seg=8'b ; 3'b011: seg=8'b ; 3'b100: seg=8'b ; 3'b101: seg=8'b ; 3'b110: seg=8'b ; 3'b111: seg=8'b ; endcase end endmodule

The Translate process converts the netlist output by the synthesizer into a Xilinx-specific format and adds with it the design constraints specified by user. The Map process decomposes the netlist and rearranges it so it fits nicely into the circuitry elements contained in the specified FPGA device. Then the Place & Route process assigns the mapped elements to specific locations in the FPGA and sets the switches to route the logic signals between them.

Pin Configuration for Nextek Training Board