Embedded Hardware and Software Self-Testing Methodologies for Processor Cores Li Chen, Sujit Dey, Pablo Sanchez, Krishna Sekar, and Ying Chen Design Automation.

Slides:



Advertisements
Similar presentations
Introduction to DFT Alexander Gnusin.
Advertisements

MEMORY BIST by: Saeid Hashemi Mehrdad Falakparvaz
V. Vaithianathan, AP/ECE
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 31/22alt1 Lecture 31 System Test (Lecture 22alt in the Alternative Sequence) n Definition n Functional.
Copyright 2001, Agrawal & BushnellLecture 12: DFT and Scan1 VLSI Testing Lecture 10: DFT and Scan n Definitions n Ad-hoc methods n Scan design  Design.
Apr. 20, 2001VLSI Test: Bushnell-Agrawal/Lecture 311 Lecture 31 System Test n Definition n Functional test n Diagnostic test  Fault dictionary  Diagnostic.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
Presenter: Jyun-Yan Li A Software-Based Self-Test Methodology for On-Line Testing of Processor Caches G. Theodorou, N. Kranitis, A. Paschalis, D. Gizopoulos.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 21alt1 Lecture 21alt BIST -- Built-In Self-Test (Alternative to Lectures 25, 26 and 27) n Definition.
1 Lecture 23 Design for Testability (DFT): Full-Scan n Definition n Ad-hoc methods n Scan design Design rules Scan register Scan flip-flops Scan test sequences.
Design for Testability Theory and Practice Lecture 11: BIST
ELEN 468 Lecture 241 ELEN 468 Advanced Logic Design Lecture 24 Design for Testability.
HIGH-SPEED VLSI TESTING WITH SLOW TEST EQUIPMENT Vishwani D. Agrawal Agere Systems Processor Architectures and Compilers Research Murray Hill, NJ
Jan. 9, 2007 VLSI Design Conference Spectral RTL Test Generation for Microprocessors Nitin Yogi and Vishwani D. Agrawal Auburn University Department.
Copyright 2001, Agrawal & BushnellDay-2 PM Lecture 121 Design for Testability Theory and Practice Lecture 12: System Diagnosis n Definition n Functional.
June 10, 20011High-speed test HIGH-SPEED VLSI TESTING WITH SLOW TEST EQUIPMENT  Available automatic test equipment (ATE) speed is MHz; VLSI chip.
Spring 07, Jan 25 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 VLSI System DFT Vishwani D. Agrawal James J. Danaher.
HIGH-SPEED VLSI TESTING WITH SLOW TEST EQUIPMENT Vishwani D. Agrawal Agere Systems Processor Architectures and Compilers Research Murray Hill, NJ
Lecture 27 Memory and Delay-Fault Built-In Self-Testing
Comparison of LFSR and CA for BIST
Ugur Kalay, Marek Perkowski, Douglas Hall Universally Testable AND-EXOR Networks Portland State University Speaker: Alan Mishchenko.
ELEN 468 Lecture 251 ELEN 468 Advanced Logic Design Lecture 25 Built-in Self Test.
TOPIC - BIST architectures I
March 6, th Southeastern Symposium on System Theory1 Transition Delay Fault Testing of Microprocessors by Spectral Method Nitin Yogi and Vishwani.
BIST vs. ATPG.
Class Design Project - Test Generation 1 Class Design Project Test Generation Hillary Grimes III ELEC Project Presentation April 26, 2007.
04/26/2006VLSI Design & Test Seminar Series 1 Phase Delay in MAC-based Analog Functional Testing in Mixed-Signal Systems Jie Qin, Charles Stroud, and Foster.
An Embedded Core DFT Scheme to Obtain Highly Compressed Test Sets Abhijit Jas, Kartik Mohanram, and Nur A. Touba Eighth Asian Test Symposium, (ATS.
Software-Based Online Detection of Hardware Defects: Mechanisms, Architectural Support, and Evaluation Kypros Constantinides University of Michigan Onur.
Testimise projekteerimine: Labor 2 BIST Optimization
AN INVESTIGATION INTO THE REQUIREMENTS OF A PC-BASED LEARNING ENVIRONMENT FOR THE EDUCATION OF MICROELECTRONIC TEST ENGINEERING Joseph Walsh and Ian Grout.
Reporter: PCLee. Assertions in silicon help post-silicon debug by providing observability of internal properties within a system which are.
Mugil Vannan H ST Microelectronics India Pvt. Ltd, Noida
MICROPROCESSOR INPUT/OUTPUT
Presenter: Hong-Wei Zhuang On-Chip SOC Test Platform Design Based on IEEE 1500 Standard Very Large Scale Integration (VLSI) Systems, IEEE Transactions.
Presenter: Jyun-Yan Li Systematic Software-Based Self-Test for Pipelined Processors Mihalis Psarakis Dimitris Gizopoulos Miltiadis Hatzimihail Dept. of.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
Presenter: Jyun-Yan Li Effective Software-Based Self-Test Strategies for On-Line Periodic Testing of Embedded Processors Antonis Paschalis Department of.
Logic BIST Logic BIST.
Design for Testability By Dr. Amin Danial Asham. References An Introduction to Logic Circuit Testing.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
Module 8 Part B Adapted By and Prepared James Tan © 2001.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS Boundary Scan.
Page 1EL/CCUT T.-C. Huang May 2004 TCH CCUT Introduction to IC Test Tsung-Chu Huang ( 黃宗柱 ) Department of Electronic Eng. Chong Chou Institute of Tech.
25 April 2000 SEESCOASEESCOA STWW - Programma Evaluation of on-chip debugging techniques Deliverable D5.1 Michiel Ronsse.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
Embedded Embedded at-speed test at-speed test.
By Edward A. Lee, J.Reineke, I.Liu, H.D.Patel, S.Kim
Improving NoC-based Testing Through Compression Schemes Érika Cota 1 Julien Dalmasso 2 Marie-Lise Flottes 2 Bruno Rouzeyre 2 WNOC
Technical University Tallinn, ESTONIA Copyright by Raimund Ubar 1 Raimund Ubar N.Mazurova, J.Smahtina, E.Orasson, J.Raik Tallinn Technical University.
Ch5. 기본 컴퓨터의 구조와 설계.
Technical University Tallinn, ESTONIA 1 Raimund Ubar TTÜ Tallinn, 21. mai 2003 Hästitestitavad ja isetestivad digitaalsüsteemid.
TOPIC : RTD, SST UNIT 5 : BIST and BIST Architectures Module 5.2 Specific BIST Architectures.
POWER OPTIMIZATION IN RANDOM PATTERN GENERATOR By D.Girish Kumar 108W1D8007.
TITLE : types of BIST MODULE 5.1 BIST basics
July 10, th VLSI Design and Test Symposium1 BIST / Test-Decompressor Design using Combinational Test Spectrum Nitin Yogi Vishwani D. Agrawal Auburn.
Lecture 5: Design for Testability. CMOS VLSI DesignCMOS VLSI Design 4th Ed. 12: Design for Testability2 Outline  Testing –Logic Verification –Silicon.
VLSI Testing Lecture 14: System Diagnosis
Hardware Testing and Designing for Testability
VLSI Testing Lecture 14: Built-In Self-Test
CPE/EE 428/528 VLSI Design II – Intro to Testing (Part 3)
ECE 434 Advanced Digital System L18
Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)
VLSI Testing Lecture 15: System Diagnosis
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
Sungho Kang Yonsei University
MS Thesis Defense Presentation by Mustafa Imran Ali COE Department
Lecture 26 Logic BIST Architectures
Test Data Compression for Scan-Based Testing
Presentation transcript:

Embedded Hardware and Software Self-Testing Methodologies for Processor Cores Li Chen, Sujit Dey, Pablo Sanchez, Krishna Sekar, and Ying Chen Design Automation Conference, 2000 Page(s): 625~630 Presented by Kao, Chung-Fu

10/23/ What’s the Problems ? Current external testers vs. GHz processors. –Test speed, equipment cost ($20 million) Does hardware BIST good enough ? Why not Software-Based Self-Testing. –Low cost, high-quality self-test methodology

10/23/ Outline Introduction Two case: PARWAN and PicoJava-II processor cores –Proof that hardware BIST still has many limitations Demonstrate that software-based self- testing methodology is a better approach Experiment and conclusion

10/23/ Introduction Generate the required test patterns on-chip –At-speed testing, reduce the cost Built-In Self-Test (BIST) –Use for memory logic due to regular structure How to test non-memory parts ? Software-based self-testing

10/23/ Preliminary The commercial logic BIST tool: LBIST –Applying BIST to two processor cores The design-under-test often has to be modified extensively to be random pattern testable

10/23/ Case Study I: PARWAN We should modify the design in order to make the application of LBIST effective. 1.Splitting all bi- directional pins into separate I/O pins 2.Replacing all tri-state buffers with selectors 3.Inserting test points to improve the testability of the circuit

10/23/ Experiment Area [gate count] Delay [ns] # Test patterns Fault coverage Original Modified Full Scan* LBIST* LBIST** % 88.69% 97.34% * On the modified circuit ** On the modified circuit with test points LFSR, MISR, boundary scan Use test points

10/23/ Case Study II: PicoJava-II LFSR Size MISR Size # Test pointArea Overhead # Test Patterns Fault coverage ControlObserve Full Scan LBIST-1 LBIST-2 LBIST-3 LBIST % 13.06% 13.29% 13.30% ,000, % 58.81% 82.53% 82.93% 84.11%

10/23/ Software-Based Self-Testing Uses a software tester embedded in the processor memory For test generation and test application Advantages –Programmability; –Flexibility; –Generates desirable random test sets on-chip –No need of scan chains and boundary scan

10/23/ The Software-Based Self- Testing Methodology The self-testing scheme includes two steps –Test preparation step –Self-testing step

10/23/ Step 1: Component Test Preparation The test need of the component by a self-test signature –The seed (S) –The configuration (C) –The number of test patterns to be generated (N) Instruction-based testing

10/23/ Instruction-Imposed Constraints Spatial constraints Temporal constraints SHU in_flag (vcnz) data_in out_flag data_out asl asr Hardware paths involved in testing the SHU Ex: 1.asl and asr can not be both 1, 2.z and n must be consistent with data_in, 3.v = xor (c, sign_bit (data_in) )

10/23/ Constraint Modeling Spatial constrain –Random patterns used on independent inputs Temporal constrain –As figure shown

10/23/ Step 2: On-Chip Self-Test Uses an embedded software tester for the on-chip generation

10/23/ Test Generation Program Using pseudo random number generator The S/W program emulating a H/W LFSR (Linear Feedback Shift Register) Q = S Do N times begin AC = Bitwise-and (C, Q); New_bit = Parity (AC); Q = New_bit : (Q >> 1); end external XOR gate polynomial = 101 (1+x 2 ) Seed (011) Hardware Implementation

10/23/ Test Application Program Outputs observation –Data outputs –Status outputs 0 lda addr (y)// load AC 1 add addr (x) 2 sta data_out// store AC 3 lda brav ifv// branch if overflow 5 and label ifv brac ifc// branch if carry 7 and label ifc braz ifz// branch if zero 9 and label ifz bran ifn// branch if negative 11 and label ifn sta flag_out

10/23/ Experimental Results Test evaluation framework Prepares a VHDL test bench containing the initialized instruction memory and data memory Run the test bench, and captures the input signals to the processor. These are the test vectors to be applied during fault simulation

10/23/ Experimental Results (cont’d) TPG*Test ApplicationTotal ALUSHUPC # instructions Prog. Size [byte] Exec. Time [cycle] Component Fault Coverage Processor Fault Coverage ACIRPCMARSRALUSHUCTRLDP I/FCPU I/F * Test pattern generation program

10/23/ Conclusion Demonstrated some of the disadvantages associated with H/W-based BIST tech. We hope that no need to change design when insert the test mechanism. Software-based self-testing tech. had proposed –No hardware overhead –Save money