EECC551 - Shaaban #1 lec # 6 Winter 2005 1-11-2006 Evolution of Microprocessor Performance Source: John P. Chen, Intel Labs CPI > 10 1.1-10 0.5 - 1.1.35.

Slides:



Advertisements
Similar presentations
Hardware-Based Speculation. Exploiting More ILP Branch prediction reduces stalls but may not be sufficient to generate the desired amount of ILP One way.
Advertisements

1 COMP 206: Computer Architecture and Implementation Montek Singh Wed, Oct 19, 2005 Topic: Instruction-Level Parallelism (Multiple-Issue, Speculation)
A scheme to overcome data hazards
ENGS 116 Lecture 101 ILP: Software Approaches Vincent H. Berk October 12 th Reading for today: , 4.1 Reading for Friday: 4.2 – 4.6 Homework #2:
CPE 731 Advanced Computer Architecture ILP: Part V – Multiple Issue Dr. Gheith Abandah Adapted from the slides of Prof. David Patterson, University of.
POLITECNICO DI MILANO Parallelism in wonderland: are you ready to see how deep the rabbit hole goes? ILP: VLIW Architectures Marco D. Santambrogio:
EECC551 - Shaaban #1 Fall 2003 lec# Pipelining and Exploiting Instruction-Level Parallelism (ILP) Pipelining increases performance by overlapping.
Dynamic Branch PredictionCS510 Computer ArchitecturesLecture Lecture 10 Dynamic Branch Prediction, Superscalar, VLIW, and Software Pipelining.
CS152 Lec15.1 Advanced Topics in Pipelining Loop Unrolling Super scalar and VLIW Dynamic scheduling.
Pipelining 5. Two Approaches for Multiple Issue Superscalar –Issue a variable number of instructions per clock –Instructions are scheduled either statically.
Computer Architecture Lec 8 – Instruction Level Parallelism.
CPE 731 Advanced Computer Architecture ILP: Part IV – Speculative Execution Dr. Gheith Abandah Adapted from the slides of Prof. David Patterson, University.
DAP Spr.‘98 ©UCB 1 Lecture 6: ILP Techniques Contd. Laxmi N. Bhuyan CS 162 Spring 2003.
EECC551 - Shaaban #1 lec # 6 Fall Multiple Instruction Issue: CPI < 1 To improve a pipeline’s CPI to be better [less] than one, and to.
Computer Architecture 2011 – Out-Of-Order Execution 1 Computer Architecture Out-Of-Order Execution Lihu Rappoport and Adi Yoaz.
What if dynamic branch prediction is wrong?
EECC551 - Shaaban #1 lec # 6 Fall Evolution of Microprocessor Performance Source: John P. Chen, Intel Labs CPI >
EECC551 - Shaaban #1 lec # 6 Winter Multiple Instruction Issue: CPI < 1 To improve a pipeline’s CPI to be better [less] than one, and to.
EECC551 - Shaaban #1 Winter 2002 lec# Pipelining and Exploiting Instruction-Level Parallelism (ILP) Pipelining increases performance by overlapping.
EECC551 - Shaaban #1 lec # 6 Fall Evolution of Processor Performance Source: John P. Chen, Intel Labs CPI > (?)
EECC551 - Shaaban #1 Spring 2006 lec# Pipelining and Instruction-Level Parallelism. Definition of basic instruction block Increasing Instruction-Level.
EECC551 - Shaaban #1 Fall 2005 lec# Pipelining and Instruction-Level Parallelism. Definition of basic instruction block Increasing Instruction-Level.
COMP381 by M. Hamdi 1 Superscalar Processors. COMP381 by M. Hamdi 2 Recall from Pipelining Pipeline CPI = Ideal pipeline CPI + Structural Stalls + Data.
1 Lecture 5: Pipeline Wrap-up, Static ILP Basics Topics: loop unrolling, VLIW (Sections 2.1 – 2.2) Assignment 1 due at the start of class on Thursday.
EECC551 - Shaaban #1 lec # 6 Winter Evolution of Processor Performance Source: John P. Chen, Intel Labs CPI >
1 COMP 206: Computer Architecture and Implementation Montek Singh Mon., Oct. 9, 2002 Topic: Instruction-Level Parallelism (Multiple-Issue, Speculation)
Review of CS 203A Laxmi Narayan Bhuyan Lecture2.
EECC551 - Shaaban #1 Fall 2002 lec# Floating Point/Multicycle Pipelining in MIPS Completion of MIPS EX stage floating point arithmetic operations.
EECC551 - Shaaban #1 lec # 8 Winter Multiple Instruction Issue: CPI < 1 To improve a pipeline’s CPI to be better [less] than one, and to.
CIS 629 Fall 2002 Multiple Issue/Speculation Multiple Instruction Issue: CPI < 1 To improve a pipeline’s CPI to be better [less] than one, and to utilize.
EECC551 - Shaaban #1 lec # 6 Fall Evolution of Processor Performance Source: John P. Chen, Intel Labs CPI > (?)
EECC551 - Shaaban #1 lec # 6 Fall Evolution of Processor Performance Source: John P. Chen, Intel Labs CPI > (?)
EECC551 - Shaaban #1 Winter 2011 lec# Pipelining and Instruction-Level Parallelism (ILP). Definition of basic instruction block Increasing Instruction-Level.
EECC551 - Shaaban #1 Spring 2004 lec# Definition of basic instruction blocks Increasing Instruction-Level Parallelism & Size of Basic Blocks.
EECC551 - Shaaban #1 lec # 6 Spring Evolution of Microprocessor Performance Source: John P. Chen, Intel Labs CPI >
Pipelining and Exploiting Instruction-Level Parallelism (ILP)
EECC551 - Shaaban #1 lec # 6 Spring CPI < 1? How?  Multiple issue processors: VLIW (Very Long Instruction Word) Superscalar processors.
1 Overcoming Control Hazards with Dynamic Scheduling & Speculation.
1 Chapter 2: ILP and Its Exploitation Review simple static pipeline ILP Overview Dynamic branch prediction Dynamic scheduling, out-of-order execution Hardware-based.
1 Advanced Computer Architecture Dynamic Instruction Level Parallelism Lecture 2.
CIS 662 – Computer Architecture – Fall Class 16 – 11/09/04 1 Compiler Techniques for ILP  So far we have explored dynamic hardware techniques for.
CSCE 614 Fall Hardware-Based Speculation As more instruction-level parallelism is exploited, maintaining control dependences becomes an increasing.
1 Lecture 7: Speculative Execution and Recovery Branch prediction and speculative execution, precise interrupt, reorder buffer.
CS 5513 Computer Architecture Lecture 6 – Instruction Level Parallelism continued.
CS203 – Advanced Computer Architecture ILP and Speculation.
Ch2. Instruction-Level Parallelism & Its Exploitation 2. Dynamic Scheduling ECE562/468 Advanced Computer Architecture Prof. Honggang Wang ECE Department.
Use of Pipelining to Achieve CPI < 1
CS 352H: Computer Systems Architecture
What if dynamic branch prediction is wrong?
/ Computer Architecture and Design
CPE 731 Advanced Computer Architecture ILP: Part V – Multiple Issue
COMP 740: Computer Architecture and Implementation
CS203 – Advanced Computer Architecture
Lecture 8: ILP and Speculation Contd. Chapter 2, Sections 2. 6, 2
Adapted from the slides of Prof
Lecture 7: Dynamic Scheduling with Tomasulo Algorithm (Section 2.4)
Pipelining and Exploiting Instruction-Level Parallelism (ILP)
CC423: Advanced Computer Architecture ILP: Part V – Multiple Issue
Reduction of Data Hazards Stalls with Dynamic Scheduling
What if dynamic branch prediction is wrong?
Adapted from the slides of Prof
Pipelining and Exploiting Instruction-Level Parallelism (ILP)
Pipelining and Exploiting Instruction-Level Parallelism (ILP)
CSC3050 – Computer Architecture
Pipelining and Exploiting Instruction-Level Parallelism (ILP)
Pipelining and Exploiting Instruction-Level Parallelism (ILP)
Pipelining and Exploiting Instruction-Level Parallelism (ILP)
Pipelining and Exploiting Instruction-Level Parallelism (ILP)
Overcoming Control Hazards with Dynamic Scheduling & Speculation
Lecture 5: Pipeline Wrap-up, Static ILP
Presentation transcript:

EECC551 - Shaaban #1 lec # 6 Winter Evolution of Microprocessor Performance Source: John P. Chen, Intel Labs CPI > (?) Pipelined (single issue) Multi-cycle Multiple Issue (CPI <1) Superscalar/VLIW/SMT So far we examined static & dynamic techniques to improve the performance of single-issue (scalar) pipelined CPU designs including: static & dynamic scheduling, static & dynamic branch predication. Even with these improvements, the restriction of issuing a single instruction per cycle still limits the ideal CPI = 1 (Chapter 3.6, 3.7, 4.3, 4.5) We next examine the two approaches to achieve a CPI < 1 by issuing multiple instructions per cycle: Superscalar CPUs Very Long Instruction Word (VLIW) CPUs. Single-issue Processor = Scalar Processor Instructions Per Cycle (IPC) = 1/CPI IPC ? 1 GHz to ???? GHz Original (2002) Intel Predictions 15 GHz

EECC551 - Shaaban #2 lec # 6 Winter Parallelism in Microprocessor VLSI Generations Simultaneous Multithreading SMT: e.g. Intel’s Hyper-threading Chip-Multiprocessors (CMPs) e.g IBM Power 4, 5 AMD Athlon64 X2 Intel Pentium D Multiple micro-operations per cycle (multi-cycle non-pipelined) Superscalar /VLIW CPI <1 Single-issue Pipelined CPI =1 Not Pipelined CPI >> 1 Instruction Level Parallelism (ILP) Thread Level Parallelism (TLP) Pipelining single issue Multiple Instruction Issue Superscalar Processors Very Long Instruction Word (VLIW) ISA/Processors CPI < 1 (ILP) (TLP)

EECC551 - Shaaban #3 lec # 6 Winter Multiple Instruction Issue: CPI < 1 To improve a pipeline’s CPI to be better [less] than one, and to better exploit Instruction Level Parallelism (ILP), a number of instructions have to be issued in the same cycle. Multiple instruction issue processors are of two types: –Superscalar: A number of instructions (2-8) is issued in the same cycle, scheduled statically by the compiler or -more commonly- dynamically (Tomasulo). PowerPC, Sun UltraSparc, Alpha, HP 8000, Intel PII, III, 4... –VLIW (Very Long Instruction Word): A fixed number of instructions (3-6) are formatted as one long instruction word or packet (statically scheduled by the compiler). –Example: Explicitly Parallel Instruction Computer (EPIC) Originally a joint HP/Intel effort. ISA: Intel Architecture-64 (IA-64) 64-bit address: First CPU: Itanium, Q Itanium 2 (2003) Limitations of the approaches: –Available ILP in the program (both). –Specific hardware implementation difficulties (superscalar). –VLIW optimal compiler design issues. CPI 1 Most common = 4 instructions/cycle called 4-way superscalar processor Chapter 3.6, 3.7, 4.3, 4.5

EECC551 - Shaaban #4 lec # 6 Winter Multiple Instruction Issue: Superscalar Vs. VLIW Multiple Instruction Issue: Superscalar Vs. VLIW Usually dynamically scheduled (Tomasulo) Complex scheduling hardware. Smaller code size. –Fewer registers –No template field Binary compatibility across generations of hardware. Statically scheduled. Complex compiler design. Simplified Hardware for decoding, issuing instructions. Usually no Interlock Hardware (compiler checks?) More ISA registers (no register renaming), but simplified hardware for register ports. (Hardware-based) (Software-based)

EECC551 - Shaaban #5 lec # 6 Winter Two instructions can be issued per cycle (static two-issue or 2-way superscalar). One of the instructions is integer (including load/store, branch). The other instruction is a floating-point operation. –This restriction reduces the complexity of hazard checking. Hardware must fetch and decode two instructions per cycle. Then it determines whether zero (a stall), one or two instructions can be issued (in decode stage) per cycle. Simple Statically Scheduled Superscalar Pipeline MEM EX ID IF EX ID IF WB EX MEM EX WB EX MEM EX WB EX ID IF WB EX MEM EX ID IF Integer Instruction FP Instruction Instruction Type Two-issue statically scheduled pipeline in operation FP instructions assumed to be adds (EX takes 3 cycles) (Ch. 3.6)Ideal CPI = 0.5 Ideal Instructions Per Cycle (IPC) = 2 Instructions assumed independent (no stalls)

EECC551 - Shaaban #6 lec # 6 Winter Intel IA-64: VLIW “Explicitly Parallel Instruction Computing (EPIC)” Three 41-bit instructions in 128 bit “Groups” or bundles; an instruction bundle template field (5-bits) determines if instructions are dependent or independent and statically specifies the functional units to used by the instructions: –Smaller code size than old VLIW, larger than x86/RISC –Groups can be linked to show dependencies of more than three instructions. 128 integer registers floating point registers Hardware checks dependencies (interlocks  binary compatibility over time) Predicated execution: An implementation of conditional instructions used to reduce the number of conditional branches used in the generated code  larger basic block size IA-64 : Name given to instruction set architecture (ISA). Itanium : Name of the first implementation (2001). In VLIW dependency analysis is done statically by the compiler not dynamically in hardware (Tomasulo)

EECC551 - Shaaban #7 lec # 6 Winter Intel/HP EPIC VLIW Approach original source code ExposeInstructionParallelism(dependencyanalysis) Optimize ExploitInstructionParallelism:GenerateVLIWs compiler Instruction Dependency Analysis Instruction 2 Instruction 1 Instruction 0 Template 128-bit bundle bits 5 bits41 bits Sequential Code Dependency Graph Template field has static assignment/scheduling information

EECC551 - Shaaban #8 lec # 6 Winter IA-64 Instruction Types I-unit/B-unitExtendedL+X B-unitBranchB F-unitFloating PointF M-unitMemoryM I-unitNon-integer ALUI I-unit or M-unitInteger ALUA Execution Unit TypeDescriptionInstruction Type Information on static assignment of instructions to functional units and instruction typed in a bundle contained in the template field

EECC551 - Shaaban #9 lec # 6 Winter IA-64 Template Use The template specifies the functional units for the three operations (instructions) in the instruction bundle. –Part of static scheduling Possible instruction combinations: –M-unit, I-unit, I-unit –M-unit, L-unit, X-unit –M-unit, M-unit, I-unit –M-unit, F-unit, I-unit –M-unit, M-unit, F-unit –M-unit, I-unit, B-unit –M-unit, B-unit, B-unit –B-unit, B-unit, B-unit –M-unit, M-unit, B-unit –M-unit, F-unit, B-unit

EECC551 - Shaaban #10 lec # 6 Winter qpR1R1 R2R2 R3R3 X 2b X4X4 VeVe X 2a  8 is the major opcode for this instruction type.  X2a, X2b, Ve, and X4 are opcode extensions.  qp is the predicate register (or predication flag) assigned to this operation (64 such flags) Register-register format: IA-64 Instruction Format Example: IA-64 Instruction Format Example: Type A (Integer ALU) Instruction Format Predication: Any instruction can be cancelled (turned into a no-op) based on the value of one of 64 predication flags (qp)

EECC551 - Shaaban #11 lec # 6 Winter qpR1R1 imm 7b R3R3 imm 6d VeVe X 2a s  X2a and Ve are opcode extensions.  qp is the predicate register assigned to this operation.  The immediate value is made up of s, imm6d, and imm7b.  Other formats available for 8-bit and 22-bit immediates. 14-bit Immediate format: IA-64 Instruction Format Example: IA-64 Instruction Format Example: Type A (Integer ALU) Instruction Format

EECC551 - Shaaban #12 lec # 6 Winter x qpR1R1 R3R3 hintX6X6 m  m and x determine the subset of load/store operations.  Bits 35:32 give special directives for LAT, cache, etc.  Bits 31:30 tell the size of the load (1, 2, 4, or 8 bytes).  Hint allows for system pre-fetching of data by specifying if temporal locality exists for this data. Integer Load/Store operations: IA-64 Instruction Format Example: IA-64 Instruction Format Example: Type M (Memory) Instruction Format

EECC551 - Shaaban #13 lec # 6 Winter p 12 1 s qpbtypeimm 20b whdopcode  Opcode 0- indirect branch, 1- indirect call, 4- IP-relative branch, 5- IP-relative call  btype sub-category of branch type, qp- branch condition  d- cache de-allocation,  wh- branch hint (static branch prediction),  s & imm20b give offset  p pre-fetch 0-few, or 1-many instructions following the target IP-relative branch: IA-64 Instruction Format Example: IA-64 Instruction Format Example: Type B (Branch) Instruction Format { Not Taken Taken IP = Instruction Pointer (e.g. PC)

EECC551 - Shaaban #14 lec # 6 Winter Unrolled Loop Example for Scalar (single-issue) Pipeline 1 Loop:L.DF0,0(R1) 2 L.DF6,-8(R1) 3 L.DF10,-16(R1) 4 L.DF14,-24(R1) 5 ADD.DF4,F0,F2 6 ADD.DF8,F6,F2 7 ADD.DF12,F10,F2 8 ADD.DF16,F14,F2 9 S.DF4,0(R1) 10 S.DF8,-8(R1) 11 DADDUIR1,R1,# S.DF12,16(R1) 13 BNER1,R2,LOOP 14 S.DF16,8(R1); 8-32 = clock cycles, or 3.5 per original iteration (result) (unrolled four times) Latency: L.D to ADD.D: 1 Cycle ADD.D to S.D: 2 Cycles Unrolled and scheduled loop from loop unrolling example in lecture # 3 (slide 10) No stalls in code above: CPI = 1 (ignoring initial pipeline fill cycles)

EECC551 - Shaaban #15 lec # 6 Winter Loop Unrolling in 2-way Superscalar Pipeline: (1 Integer, 1 FP/Cycle) Integer instructionFP instructionClock cycle Loop:L.D F0,0(R1)1 L.D F6,-8(R1)2 L.D F10,-16(R1)ADD.D F4,F0,F23 L.D F14,-24(R1)ADD.D F8,F6,F24 L.D F18,-32(R1)ADD.D F12,F10,F25 S.D F4,0(R1)ADD.D F16,F14,F26 S.D F8,-8(R1)ADD.D F20,F18,F27 S.D F12,-16(R1)8 DADDUI R1,R1,#-409 S.D F16,-24(R1)10 BNE R1,R2,LOOP11 SD -32(R1),F2012 Unrolled 5 times to avoid delays and expose more ILP (unrolled one more time) 12 cycles, or 12/5 = 2.4 cycles per iteration (3.5/2.4= 1.5X faster than scalar) CPI = 12/ 17 =.7 worse than ideal CPI =.5 because 7 issue slots are wasted Empty or wasted issue slot Recall that loop unrolling exposes more ILP by increasing basic block size

EECC551 - Shaaban #16 lec # 6 Winter Loop Unrolling in VLIW Pipeline (2 Memory, 2 FP, 1 Integer / Cycle) Memory MemoryFPFPInt. op/Clock reference 1reference 2operation 1 op. 2 branch L.D F0,0(R1)L.D F6,-8(R1) 1 L.D F10,-16(R1)L.D F14,-24(R1) 2 L.D F18,-32(R1)L.D F22,-40(R1)ADD.D F4,F0,F2ADD.D F8,F6,F2 3 L.D F26,-48(R1)ADD.D F12,F10,F2ADD.D F16,F14,F2 4 ADD.D F20,F18,F2ADD.D F24,F22,F2 5 S.D F4,0(R1)S.D F8, -8(R1)ADD.D F28,F26,F2 6 S.D F12, -16(R1)S.D F16,-24(R1) DADDUI R1,R1,#-56 7 S.D F20, 24(R1)S.D F24,16(R1) 8 S.D F28, 8(R1)BNE R1,R2,LOOP 9 Unrolled 7 times to avoid delays and expose more ILP 7 results in 9 cycles, or 1.3 cycles per iteration (2.4/1.3 =1.8X faster than 2-issue superscalar, 3.5/1.3 = 2.7X faster than scalar) Average: about 23/9 = 2.55 IPC (instructions per clock cycle) Ideal IPC =5, CPI =.39 Ideal CPI =.2 thus about 50% efficiency, 22 issue slots are wasted Note: Needs more registers in VLIW (15 vs. 6 in Superscalar) (In chapter 4.3 pages ) Empty or wasted issue slot

EECC551 - Shaaban #17 lec # 6 Winter Superscalar Dynamic Scheduling The Tomasulo dynamic scheduling algorithm is extended to issue more than one instruction per cycle. However the restriction that instructions must issue in program order still holds to avoid violating instruction dependencies (construct correct dependency graph dynamically). –The result of issuing multiple instructions in one cycle should be the same as if they were single- issued, one instruction per cycle. How to issue two instructions and keep in-order instruction issue for Tomasulo? Simplest Method: Restrict Type of Instructions Issued Per Cycle To simplify the issue logic, issue one one integer + one floating-point instruction per cycle (for a 2-way superscalar). –1 Tomasulo control for integer, 1 for floating point. FP loads/stores might cause a dependency between integer and FP issue: –Replace load reservation stations with a load queue; operands must be read in the order they are fetched (program order). –Replace store reservation stations with a store queue; operands must be written in the order they are fetched. Load checks addresses in Store Queue to avoid RAW violation –(get load value from store queue if memory address matches) Store checks addresses in Load Queue to avoid WAR, and checks Store Queue to avoid WAW. (the above load/store queue checking is also applicable to single-issue Tomasulo to take care of memory RAW, WAR, WAW). More on this next..

EECC551 - Shaaban #18 lec # 6 Winter Data Memory Dependency Checking/Handling In Dynamically Scheduled Processors (Related discussion in textbook page 195) Renaming in Tomasolu-based dynamically scheduled processors eliminates name dependence for register access but not for data memory access Thus both true data dependencies and name dependencies must be detected to ensure correct ordering of data memory accesses for correct execution. One possible solution: For Loads: Check store queue/buffers to ensure no data dependence violation (RAW hazard) For Stores: –Check store queue/buffers to ensure no output name dependence violation (WAW hazard) –Check load queue/buffers to ensure no anti-dependence violation (WAR hazard).... From CPU To Data Memory Store Queue/ Buffers.... To CPU From Data Memory Load Queue/ Buffers For Store Instructions For Load Instructions Check Store Queue for possible anti-dependence (WAR hazard) In case of an address match delay the current store until all pending loads are completed Check Store Queue for possible output dependence (WAW hazard) In case of address match ensure this store will occur last Check Store Queue for possible true data dependence (RAW hazard) In case of an address match get the value of the that store Note: Since instructions issue in program order, all pending load/store instructions in load/store queues are before the current load/store instruction in program order.

EECC551 - Shaaban #19 lec # 6 Winter Three techniques can be used to support multiple instruction issue in Tomasulo without putting restrictions on the type of instructions issued per cycle: 1 Issue at a higher clock rate so that issue remains in order. –For example for a 2-Issue supercalar issue at 2X Clock Rate. 2Widen the issue logic to handle multiple instruction issue –All possible dependencies between instructions to be issues are detected at once and the result of the multiple issue matches in-order issue Superscalar Dynamic Scheduling Issue First Instruction Issue Second Instruction One Cycle Check Instruction Dependencies Issue Both Instructions One Cycle 0, 1 or 2 instructions issued per cycle for either method 2-Issue superscalar For correct dynamic construction of dependency graph: The result of issuing multiple instructions in one cycle should be the same as if they were single-issued, one instruction per cycle.

EECC551 - Shaaban #20 lec # 6 Winter To avoid increasing the CPU clock cycle time in the last two approaches, multiple instruction issue can be spilt into two pipelined issue stages: –Issue Stage One: Decide how many instructions can issue simultaneously checking dependencies within the group of instructions to be issued + available RSs, ignoring instructions already issued. –Issue Stage Two: Examine dependencies among the selected instructions from the group and the those already issued. This approach is usually used in dynamically-scheduled wide superscalars that can issue four or more instructions per cycle. Splitting the issue into two pipelined staged increases the CPU pipeline depth and increases branch penalties –This increases the importance of accurate dynamic branch prediction methods. Further pipelining of issue stages beyond two stages may be necessary as CPU clock rates are increased. The dynamic scheduling/issue control logic for superscalars is generally very complex growing at least quadratically with issue width. –e.g 4 wide superscalar -> 4x4 = 16 times complexity of single issue CPU Superscalar Dynamic Scheduling

EECC551 - Shaaban #21 lec # 6 Winter Multiple Instruction Issue with Dynamic Scheduling Example Example on page 221 Assumptions: Restricted 2-way superscalar: 1 integer, 1 FP Issue Per Cycle A sufficient number of reservation stations is available. Total two integer units available: One integer unit (for ALU, effective address) One integer unit for branch condition 2 CDBs Execution cycles: Integer: 1 cycle Load: 2 cycles (1 ex + 1 mem) FP add: 3 cycles Any instruction following a branch cannot start execution until after branch condition is evaluated in EX (resolved) Branches are single issued, no delayed branch, perfect branch prediction

EECC551 - Shaaban #22 lec # 6 Winter Multiple Instruction Issue with Dynamic Scheduling Example

EECC551 - Shaaban #23 lec # 6 Winter Only one CDB is actually needed in this case. Three Loop Iterations on Restricted 2-way Superscalar Tomasulo (Start) FP ADD has 3 execution cycles Branches single issue

EECC551 - Shaaban #24 lec # 6 Winter Resource Usage Table for Example: Only one CDB is actually needed in this case.

EECC551 - Shaaban #25 lec # 6 Winter Multiple Instruction Issue with Dynamic Scheduling Example Example on page 223 Assumptions: The same loop in previous example On restricted 2-way superscalar: 1 integer, 1 FP Issue Per Cycle A sufficient number of reservation stations is available. Total three integer units one for ALU, one for effective address One integer unit for branch condition 2 CDBs Execution cycles: Integer: 1 cycle Load: 2 cycles (1 ex + 1 mem) FP add: 3 cycles Any instruction following a branch cannot start execution until after branch condition is evaluated Branches are single issued, no delayed branch, perfect branch prediction Previous example repeated with one more integer ALU (3 total)

EECC551 - Shaaban #26 lec # 6 Winter Same three loop Iterations on Restricted 2-way Superscalar Tomasulo but with Three integer units (one for ALU, one for effective address calculation, one for branch condition) (page 224) (Start) For instructions after a branch: Execution starts after branch is resolved Both CDBs are used here (in cycles 4, 8) 16 vs. 19 cycles

EECC551 - Shaaban #27 lec # 6 Winter (page 225) Resource Usage Table for Example: Both CDBs are used here (in cycles 4, 8)

EECC551 - Shaaban #28 lec # 6 Winter Limits to Multiple Instruction Issue Machines Inherent limitations of ILP: –If 1 branch exist for every 5 instruction : How to keep a 5-way superscalar/VLIW processor busy? –Latencies of unit adds complexity to the many operations that must be scheduled every cycle. –For maximum performance multiple instruction issue requires about: Pipeline Depth x No. Functional Units active instructions at any given cycle. Hardware implementation complexities: –Duplicate FUs for parallel execution are needed, more CDBs. –More instruction bandwidth is essential. –Increased number of ports to Register File (datapath bandwidth): VLIW example needs 7 read and 3 write for Int. Reg. & 5 read and 3 write for FP reg –Increased ports to memory (to improve memory bandwidth). –Superscalar issue/decoding complexity may impact pipeline clock rate, depth. i.e to achieve 100% Functional unit utilization

EECC551 - Shaaban #29 lec # 6 Winter Conditional Instructions and Speculation Compiler ILP techniques (loop-unrolling, software Pipelining etc.) are not effective to uncover maximum ILP when branch behavior is not well known at compile time. Techniques to further reduce the impact of branches on performance: –Conditional or Predicted Instructions: An extension to the instruction set with instructions that turn into no-ops if a condition is not valid at run time (e.g. canceling branch delay instruction). –Speculation: An instruction is executed before the processor knows that the instruction should execute to avoid control dependence stalls (i.e. branch not resolved yet): Static Speculation by the compiler with hardware support: –The compiler labels an instruction as speculative and the hardware helps by ignoring the outcome of incorrectly speculated instructions. –Conditional instructions provide limited speculation. Dynamic Hardware-based Speculation: (Ch. 3.7) –Uses dynamic branch-prediction to guide the speculation process. –Dynamic scheduling and execution continued passed a conditional branch in the predicted branch direction. No ISA or Compiler Support Needed ISA/Compiler Support Needed ISA Support Needed - (Speculative Tomasulo) e.g dynamic speculative execution

EECC551 - Shaaban #30 lec # 6 Winter Conditional or Predicted Instructions Avoid branch prediction by turning branches into conditionally-executed instructions (helps increase average size of basic blocks by reducing the number of branches): if (x) then (A = B op C) else NOP –If false, then neither store result nor cause exception: instruction is annulled (turned into NOP). –Expanded ISA of Alpha, MIPS, PowerPC, SPARC have conditional move. –HP PA-RISC can annul any following instruction. –IA-64: 64 1-bit condition fields (flags) selected so conditional execution of any instruction (Predication). Drawbacks of conditional instructions –Still takes a clock cycle even if “annulled”. –Must stall if condition is evaluated late. –Complex conditions reduce effectiveness; condition becomes known late in pipeline. x A = B op C NOP (Ch. 4.5) ISA/Compiler Support Needed ISA Based:

EECC551 - Shaaban #31 lec # 6 Winter IA-64 Predication Example Taken Direction Not Taken Direction Predication Flag evaluated Predication in IA-64 turns control dependence into data dependence on predication flags 64 Predication flags in IA-64 Taken Direction Not Taken Direction Predication: Any instruction can be cancelled (turned into a no-op) based on the value of one of 64 predication flags (qp) ISA Based: Conditional Execution in IA-64 VLIW (Predication) 10

EECC551 - Shaaban #32 lec # 6 Winter Dynamic Hardware-Based Speculation Combines:Combines: –Dynamic hardware-based branch prediction –Dynamic Scheduling: issue multiple instructions in order and execute out of order. (Tomasulo) Continue to dynamically issue, and execute instructions passed a conditional branch in the dynamically predicted branch direction, before control dependencies are resolved. –This overcomes the ILP limitations of the basic block size. –Creates dynamically speculated instructions at run-time with no ISA/compiler support at all. –If a branch turns out as mispredicted all such dynamically speculated instructions must be prevented from changing the state of the machine (registers, memory). Addition of commit (retire, completion, or re-ordering) stage and forcing instructions to commit in their order in the code (i.e to write results to registers or memory in program order). Precise exceptions are possible since instructions must commit in order. (Ch. 3.7) How? (Speculative Execution Processors, Speculative Tomasulo) i.e speculated instructions must be cancelled

EECC551 - Shaaban #33 lec # 6 Winter Hardware-Based Speculation Speculative Execution + Tomasulo’s Algorithm Tomasulo’s Algorithm Usually implemented as a circular buffer Store Results Commit or Retirement = Speculative Tomasulo

EECC551 - Shaaban #34 lec # 6 Winter Four Steps of Speculative Tomasulo Algorithm 1. Issue — (In-order) Get an instruction from Instruction Queue If a reservation station and a reorder buffer slot are free, issue instruction & send operands & reorder buffer number for destination (this stage is sometimes called “dispatch”) 2.Execution — (out-of-order) Operate on operands (EX) When both operands are ready then execute; if not ready, watch CDB for result; when both operands are in reservation station, execute; checks RAW (sometimes called “issue”) 3.Write result — (out-of-order) Finish execution (WB) Write on Common Data Bus (CDB) to all awaiting FUs & reorder buffer; mark reservation station available. 4.Commit — (In-order) Update registers, memory with reorder buffer result –When an instruction is at head of reorder buffer & the result is present, update register with result (or store to memory) and remove instruction from reorder buffer. –A mispredicted branch at the head of the reorder buffer flushes the reorder buffer (cancels speculated instructions after the branch)  Instructions issue in order, execute (EX), write result (WB) out of order, but must commit in order.

EECC551 - Shaaban #35 lec # 6 Winter Hardware-Based Speculation Example Example on page 229 Show speculated single-issue Tomasulo status when MUL.D is ready to commit Single-issue speculative Tomasulo Example

EECC551 - Shaaban #36 lec # 6 Winter speculated Tomasulo status when MUL.D is ready to commit (next cycle) Reorder buffer entry # for MUL.D Reorder buffer entry # for DIV.D Single-issue speculative Tomasulo Example No result value For DIV.D (not done executing) (AKA Commit or Retirement buffer)

EECC551 - Shaaban #37 lec # 6 Winter Hardware-Based Speculation Example Example on page 231 First iteration instructions committed Single-issue speculative Tomasulo Next instruction to commit

EECC551 - Shaaban #38 lec # 6 Winter L.D. and MUL.D of first iteration have committed, other instructions completed execution Next to commit Single-issue speculative Tomasulo What if branch was mispredicted ?

EECC551 - Shaaban #39 lec # 6 Winter Multiple Issue with Speculation Example (2-way superscalar with no restriction on issue instruction type) Example on page 235 A sufficient number of reservation stations and reorder (commit) buffer entries are available. Branches still single issue Integer code Ex = 1 cycle

EECC551 - Shaaban #40 lec # 6 Winter Answer: Without Speculation For instructions after a branch: Execution starts after branch is resolved Branches Still Single Issue

EECC551 - Shaaban #41 lec # 6 Winter Answer: 2-way Superscalar Tomasulo With Speculation Arrows show data dependencies Branches Still Single Issue 14 cycles vs. 19 without speculation

EECC551 - Shaaban #42 lec # 6 Winter Empty or wasted issue slots can be defined as either vertical waste or horizontal waste: –Vertical waste is introduced when the processor issues no instructions in a cycle. –Horizontal waste occurs when not all issue slots can be filled in a cycle. Superscalar Architecture Limitations: Issue Slot Waste Classification Example: 4-Issue Superscalar Ideal IPC =4 Ideal CPI =.25 Instructions Per Cycle = IPC = 1/CPI Also applies to VLIW Result of issue slot waste: Actual Performance << Peak Performance

EECC551 - Shaaban #43 lec # 6 Winter Sources of Unused Issue Cycles in an 8-issue Superscalar Processor. Processor busy represents the utilized issue slots; all others represent wasted issue slots. 61% of the wasted cycles are vertical waste, the remainder are horizontal waste. Workload: SPEC92 benchmark suite. Source: Simultaneous Multithreading: Maximizing On-Chip Parallelism Dean Tullsen et al., Proceedings of the 22rd Annual International Symposium on Computer Architecture, June 1995, pages Ideal Instructions Per Cycle, IPC = 8 Here real IPC about 1.5 Real IPC << Ideal IPC 1.5 << 8 (CPI = 1/8) ~ 81% of issue slots wasted (18.75 % of ideal IPC) (wasted)

EECC551 - Shaaban #44 lec # 6 Winter Superscalar Architecture Limitations : All possible causes of wasted issue slots, and latency-hiding or latency reducing techniques that can reduce the number of cycles wasted by each cause. Source: Simultaneous Multithreading: Maximizing On-Chip Parallelism Dean Tullsen et al., Proceedings of the 22rd Annual International Symposium on Computer Architecture, June 1995, pages Main Issue: One Thread leads to limited ILP (cannot fill issue slots) Possible Solution: Exploit Thread Level Parallelism (TLP) within a single physical processor: - Simultaneous Multithreaded (SMT) Processor: The processor issues and executes instructions from a number of threads creating a number of logical processors within a single physical processor e.g. Intel’s HyperThreading (HT), each physical processor executes instructions from two threads