Chapter 7 Plasma Basic Hong Xiao, Ph. D.

Slides:



Advertisements
Similar presentations
MICROELECTROMECHANICAL SYSTEMS ( MEMS )
Advertisements

General Characteristics of Gas Detectors
CHAPTER 8: THERMAL PROCESS (continued). Diffusion Process The process of materials move from high concentration regions to low concentration regions,
Andreas Steinbach, Stefan Wurm, Christian Koelbl Ferdinand Bell, Daniel Koehler, Dirk Knobloch Sematech AEC/APC Symposium X Plasma etch control by.
Etch Process Trends. Etch process trends Most trends are not consistent. They depend on the specific values of input parameters. At point A, pressure.
CONTROL OF ELECTRON ENERGY DISTRIBUTIONS AND FLUX RATIOS IN PULSED CAPACITIVELY COUPLED PLASMAS* Sang-Heon Song a) and Mark J. Kushner b) a) Department.
Section 3: Etching Jaeger Chapter 2 Reader.
ECE/ChE 4752: Microelectronics Processing Laboratory
1 Introduction to Plasma Immersion Ion Implantation Technologies Emmanuel Wirth.
Aerosol protection of laser optics by Electrostatic Fields (not manetic) L. Bromberg ARIES Meeting Madison WI April 23, 2002.
Microelectronics Processing
The Deposition Process

Physical Vapor Deposition
Thin Film Deposition Prof. Dr. Ir. Djoko Hartanto MSc
MEMs Fabrication Alek Mintz 22 April 2015 Abstract
Surface micromachining
1 ME 381R Fall 2003 Micro-Nano Scale Thermal-Fluid Science and Technology Lecture 18: Introduction to MEMS Dr. Li Shi Department of Mechanical Engineering.
Solids, Liquids, and Gases. Kinetic Theory The kinetic theory is an explanation of how particles in matter behave. The three assumptions of the kinetic.
Lecture 11.0 Etching. Etching Patterned –Material Selectivity is Important!! Un-patterned.
Plasma Processing Overview
Top Down Method Etch Processes
Dry Etching, General Principles Dr. Marc Madou, Fall 2012 Class 7.
M.H.Nemati Sabanci University
ES 176/276 – Section # 2 – 09/19/2011 Brief Overview from Section #1 MEMS = MicroElectroMechanical Systems Micron-scale devices which transduce an environmental.
the Ionosphere as a Plasma
F.M.H. Cheung School of Physics, University of Sydney, NSW 2006, Australia.
McGill Nanotools Microfabrication Processes
Lecture 12.0 Deposition. Materials Deposited Dielectrics –SiO2, BSG Metals –W, Cu, Al Semiconductors –Poly silicon (doped) Barrier Layers –Nitrides (TaN,
Introduction After a thin film is deposited, it is usually etched to remove unwanted materials and leave the desired pattern on the wafer Need to etch.
Thin Film Deposition Quality – composition, defect density, mechanical and electrical properties Uniformity – affect performance (mechanical , electrical)
반도체 제작 공정 재료공정실험실 동아대학교 신소재공학과 손 광 석 隨處作主立處開眞
Plasma-Enhanced Chemical Vapor Deposition (PECVD)
Optimization of Plasma Barrel Etching for Microprocessors By Acacia Caraballo and Paul Filichkin.
Vacuum science.
Vacuum Fundamentals 1 atmosphere = 760 mm Hg = kPa 1 torr = 1 mm Hg vacuum range pressure range low 760 ~ 25 torr medium 25~ high ~ 10.
Nano/Micro Electro-Mechanical Systems (N/MEMS) Osama O. Awadelkarim Jefferson Science Fellow and Science Advisor U. S. Department of State & Professor.
1 Chemical Engineering Tools for Semiconductor Fabrication David Cohen, PhD AIChE Norcal Symposium April 12, 2005.
SEMINAR ON IC FABRICATION MD.ASLAM ADM NO:05-125,ETC/2008.
Why plasma processing? (1) UCLA Accurate etching of fine features.
KUKUM – SHRDC INSEP Training Program 2006 School of Microelectronic Engineering Lecture IV Metallization.
© 2001 by Prentice HallSemiconductor Manufacturing Technology by Michael Quirk and Julian Serda Semiconductor Manufacturing Technology Michael Quirk &
ETCHING – Chapter 10 DRY ETCH ANISOTROPIC
Sputter deposition.
Top Down Manufacturing
Top Down Method Etch Processes
Ionization Detectors Basic operation
Vacuum Technology.
Top Down Method Vacuum Applications in Nanomanufacturing Author’s Note: Significant portions of this work have been reproduced and/or adapted with permission.
SUMMARYSUMMARY  Ion bombardment control,  Thermal management,  No Defects (pits or pillars) formation,  Profile control  Plasma repeatability  Plasma.
A Basic Introduction & Some Cool Stuff
Plasma Etch Sub-processes. Reactant Generation process Substrate Dissociation/ ionization of input gases by plasma Gas delivery RF Electrode During ionization,
-Plasma can be produced when a laser ionizes gas molecules in a medium -Normally, ordinary gases are transparent to electromagnetic radiation. Why then.
Etching: Wet and Dry Physical or Chemical.
SAMPLE PREPARATION TECHNIQUES
Large Area Plasma Processing System (LAPPS) R. F. Fernsler, W. M. Manheimer, R. A. Meger, D. P. Murphy, D. Leonhardt, R. E. Pechacek, S. G. Walton and.
Section 5: Thin Film Deposition part 1 : sputtering and evaporation
Chapter 5-1. Chemcal Etching.

Equipment and technological processes for manufacturing GaAs MMICs PLASMA ETCHING ICP ETCHING TALK 6 1.
DC Sputtering Disadvantage #1 Low secondary electron yield
(Thermal Evaporation)
Etching Processes for Microsystems Fabrication
Lecture 6 Metallization.
PVD & CVD Process Mr. Sonaji V. Gayakwad Asst. professor
Chapter 5 Plasma and Ion Beam Processing of Thin Films
1.6 Magnetron Sputtering Perpendicular Electric Magnetic Fields.
Plasma Cleaning Safety Presentation
1.6 Glow Discharges and Plasma
Introduction To Plasma Etch
Presentation transcript:

Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/HongXiao/Book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes Name two high density plasma sources Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Topics of Discussion What is plasma? Why use plasma? Ion bombardment Application of plasma process Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Applications of Plasma CVD Etch PVD Ion Implantation Photoresist strip Process chamber dry clean Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

What Is Plasma A plasma is a ionized gas with equal numbers of positive and negative charges. A more precise definition: a plasma is a quasi-neutral gas of charged and neutral particles which exhibits collective behavior. Examples: Sun, flame, neon light, etc. Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Components of Plasma A plasma consists of neutral atoms or molecules, negative charges (electrons) and positive charges (ions) Quasi-neutral: ni  ne Ionization rate: h  ne/(ne + nn) Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Ionization Rate Ionization rate is mainly determined by electron energy in plasma In most plasma processing chambers, the ionization rate is less than 0.001%. The ionization rate of high density plasma (HDP) source is much higher, about 1%. Ionization rate in the core of sun is ~100%. Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Neutral Gas Density Idea gas At 1 atm, gas density is 2.961019 cm3 1 mole = 22.4 Litter = 2.24104 cm3 1 mole = 6.62 1023 molecules At 1 atm, gas density is 2.961019 cm3 At 1 Torr, gas density is 3.891016 cm3 At 1 mTorr, gas density is 3.891013 cm3 RF plasma has very low ionization rate Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Parallel Plate Plasma System RF power Dark Electrodes Plasma spaces or sheath layers To Vacuum Pump Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Generation of a Plasma External power is needed Radio frequency (RF) power is the most commonly used power source Vacuum system is required to generate a stable RF plasma Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Ionization Electron collides with neutral atom or molecule Knock out one of orbital electron e + A A+ + 2 e Ionization collisions generate electrons and ions It sustains the stable plasma Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Illustration of Ionization Nucleus Nucleus Free Electron Orbital Electron Free Electrons Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Excitation-Relaxation e + A A* + e A* A + hn (Photos) Different atoms or molecules have difference frequencies, that is why different gases have different glow colors. The change of the glow colors is used for etch and chamber clean process endpoint. Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Excitation Collision Impact electron Excited electron Grounded electron Impact electron Nucleus Nucleus Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Relaxation h: Planck Constant n: Frequency of Light hn Excited State Ground State Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Dissociation Electron collides with a molecule, it can break the chemical bond and generate free radicals: e + AB A + B + e Free radicals have at least one unpaired electron and are chemically very reactive. Increasing chemical reaction rate Very important for both etch and CVD. Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Dissociation e- Free Radicals B A A B Molecule e- Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Plasma Etch CF4 is used in plasma to generate fluorine free radical (F) for oxide etch e- + CF4  CF3 + F + e- 4F + SiO2  SiF4 + 2O Enhanced etch chemistry Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Plasma Enhanced CVD PECVD with SiH4 and NO2 (laughing gas) e- + SiH4  SiH2 + 2H + e- e- + N2O  N2 + O + e- SiH2 + 3O  SiO2 + H2O Plasma enhanced chemical reaction PECVD can achieve high deposition rate at relatively lower temperature Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Q & A Why are dissociation not important in the aluminum and copper PVD processes? Aluminum and copper sputtering processes only use argon. Argon is a noble gas, which exist in the form of atoms instead of molecules. Thus there is no dissociation process in argon plasma Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Q & A Is there any dissociation collision in PVD processes? Yes. In TiN deposition process, both Ar and N2 are used. In plasma, N2 is dissociated to generate free radical N, which reacts with Ti target to from TiN on the surface. Ar+ ions sputter TiN molecules from the surface and deposit them on wafer surface. Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Table 7.1 Silane Dissociation Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Q & A Which one of collisions in Table 7.1 is most likely to happen? Why? The one that requires the least energy is the one most likely to happen. Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Mean Free Path (MFP) The average distance a particle can travel before colliding with another particle. n is the density of the particle s is the collision cross-section of the particle Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

MFP Illustration Large Large particle particle Small Small particle ( a) ( b) Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Mean Free Path (MFP) Effect of pressure: Higher pressure, shorter MFP Lower pressure, longer MFP Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Q & A Why does one need a vacuum chamber to generate a stable plasma? At atmospheric pressure (760 Torr), MFP of an electron is very short. Electrons are hard to get enough energy to ionize gases molecules. Extremely strong electric field can create plasma in the form of arcing (lightening) instead of steady state glow discharge. Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Movement of Charged Particle Electron is much lighter than ion me << mi me:mHydrogen =1:1836 Electric forces on electrons and ions are the same F = qE Electron has much higher acceleration a = F/m Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Movement of Charged Particle RF electric field varies quickly, electrons are accelerated very quickly while ions react slowly Ions have more collisions due to their larger cross-section that further slowing them down Electrons move much faster than ions in plasma Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Thermal Velocity Electron thermal velocity v = (kTe/me)1/2 RF plasma, Te is about 2 eV ve  5.93107 cm/sec = 1.33107 mph Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Magnetic Force and Gyro-motion Magnetic force on a charged particle: F = qvB Magnetic force is always perpendicular to the particle velocity Charged particle will spiral around the magnetic field line. Gyro-motion. Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Gyro-motion Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Gyrofrequency Charged particle in gyro motion in magnetic field Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Gyro radius Gyroradius of charged particle in a magnetic field, r, can be expressed as: r = v/W Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Boltzmann Distribution f(E) Electrons with enough energy for ionization Energy, E 2 - 3 eV Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Ion Bombardment Anything close to plasma gets ion bombardment Very important for sputtering, etch and PECVD Mainly determined by RF power Pressure also can affect bombardment Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Ion Bombardment Electrons reach electrodes and chamber wall first Electrodes charged negatively, repel electrons and attract ions. The sheath potential accelerates ions towards the electrode and causes ion bombardment. Ion bombardment is very important for etch, sputtering and PECVD processes. Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Sheath Potential Electrode x Bulk plasma Sheath Region V + + + + + + + + + + + + + + - - - - - - - - - + + + + + + + + + + + + + + - - - - - - - - - - - + + + + + + + + + + + + + + - - - - - - - - - - - - - + + + + + + + + + + + + + + Electrode - - - - - - - - - - - - + + + + + + + + + + + + + + - - - - - - - - - - + + + + + + + + + + + + + + - - - - - - - - x + + + + + + + + + + + + + + Bulk plasma Sheath Region V p Sheath Potential V f Dark space Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Applications of Ion bombardment Help to achieve anisotropic etch profile Damaging mechanism Blocking mechanism Argon sputtering Dielectric etch for gap fill Metal deposition Help control film stress in PECVD processes Heavier bombardment, more compressive film Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Plasma Potential & DC Bias Time Volt DC Bias RF potential Plasma Potential Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

DC biases and RF powers Lower RF power Smaller DC bias Higher RF power Plasma potential Plasma potential DC bias time DC bias time RF potentials Lower RF power Smaller DC bias Higher RF power Larger DC bias Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Ion Bombardment Ion energy Ion density Both controlled by RF power Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Ion Bombardment Control Increasing RF power, DC bias increases, ion density also increases. Both ion density and ion bombardment energy are controlled by RF power. RF power is the most important knob controlling ion bombardment RF power also used to control film stress for PECVD processes Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

DC Bias of CVD Chamber Plasma Grounded RF hot V = 10 - 20 V p Dark spaces or sheath regions Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

DC Bias of Etch Chamber Plasma Plasma potential time Wafer Potential DC bias Self bias Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

DC Bias of Etch Chamber Plasma V 2 A 1 A 2 DC bias V1 V1 = 200 to 1000 V V1/V2 =(A2/A1) 4 Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

[V1 - (V1 - V2)]/V1 = V2/V1 = (A1/A2)4 = (1/3)4 = 1/81 = 1.23% Question and Answer If the electrode area ratio is 1:3, what is the difference between the DC bias and the self-bias compare with the DC bias? The DC bias is V1, the self-bias is V1 - V2, therefore, the difference is [V1 - (V1 - V2)]/V1 = V2/V1 = (A1/A2)4 = (1/3)4 = 1/81 = 1.23% Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Question and Answer Can we insert a fine metal probe into the plasma to measure the plasma potential V2? Yes, we can. However, it is not very accurate because of sheath potential near probe surface Measurement results are determined by the theoretical models of the sheath potential, which have not been fully developed, yet. Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Ion Bombardment and Electrode Size Smaller electrode has more energetic ion bombardment due to self-bias Etch chambers usually place wafer on smaller electrode Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Advantages of Using Plasma Plasma processes in IC fabrication: PECVD CVD chamber dry clean Plasma Etch PVD Ion implantation Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Benefits of Using Plasma For CVD Process High deposition rate at relatively lower temperature. Independent film stress control Chamber dry clean Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Comparison of PECVD and LPCVD Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Gap Fill by HDP-CVD Simultaneously deposition and sputtering Tapering the gap opening Fill gap between metal lines bottom up Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

HDP CVD Void-free Gap Fill 0.25 mm, A/R 4:1 Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Benefits of Using Plasma For Etch Process High etch rate Anisotropic etch profile Optical endpoint Less chemical usage and disposal Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Benefits of Using Plasma For PVD Process Argon sputtering Higher film quality Less impurity and higher conductivity Better uniformity Better process control Higher process integration capability. Easier to deposit metal alloy films Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

PECVD and Plasma Etch Chambers CVD: Adding materials on wafer surface Free radicals Some bombardment for stress control Etch: Removing materials from wafer surface Heavy bombardment Prefer low pressure, better directionality of ions Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

PECVD Chambers Ion bombardment control film stress Wafer is placed grounded electrode Both RF hot and grounded electrodes have about the same area It has very little self-bias The ion bombardment energy is about 10 to 20 eV, mainly determined by the RF power Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Schematic of a PECVD Chamber RF Wafer Chuck Plasma Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Plasma Etch Chambers Ion bombardment Wafer on smaller electrode Physically dislodge break chemical bonds Wafer on smaller electrode Self-bias Ion bombardment energy on wafer (RF hot electrode): 200 to 1000 eV on lid (ground electrode): 10 to 20 eV. Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Plasma Etch Chambers Heat generation by heavy ion bombardment Need control temperature to protect masking PR Water-cool wafer chuck (pedestal, cathode) Lower pressure not good to transfer heat from wafer to chuck Helium backside cooling required Clamp ring or electrostatic chuck (E-chuck) to hold wafer Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Plasma Etch Chambers Etch prefer lower pressure longer MFP, more ion energy and less scattering Low pressure, long MFP, less ionization collision hard to generate and sustain plasma Magnets are used to force electron spin and travel longer distance to increase collisions Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Schematic of an Etch Chamber Process gases Process chamber Plasma Magnet coils Wafer Chuck By-products to the pump RF power Backside cooling helium Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Remote Plasma Processes Need free radicals Enhance chemical reactions Don’t want ion bombardment Avoid plasma-induced damage Remote plasma systems Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Remote Plasma System Remote plasma chamber MW or RF Process gases Process chamber Free radicals Heated plate By-products to the pump Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Photoresist Strip Remove photoresist right after etch O2 and H2O chemistry Can be integrated with etch system In-situ etch and PR strip Improve both throughput and yield Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Photoresist Strip Process Microwave Remote plasma chamber H2O, O2 Plasma Process chamber O Wafer with photoresist H O H O O O H Heated plate H2O, CO2, … To the pump Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Remote Plasma Etch Applications: isotropic etch processes: LOCOS or STI nitride strip wineglass contact hole etch Can be integrated with plasma etch system improve throughput Part of efforts to replace wet process Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Remote Plasma Etch System Microwave Remote plasma chamber NF3 Plasma Wafer Process chamber F F F F N2 F N2 Heated plate N2, SiF4, … To pump Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Remote Plasma Clean Deposition not only on wafer surface CVD chamber need clean routinely Prevent particle contamination due to film crack Plasma clean with fluorocarbon gases is commonly used Ion bombardment affects parts lifetime Low dissociation rate of fluorocarbon Environmental concern of fluorocarbon releases Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Remote Plasma Clean Microwave high-density plasma The free radicals flow into CVD chamber React and remove deposited film Clean the chamber while gentle process, prolonged part lifetime high dissociation, little fluorocarbon releases Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Remote Plasma Clean System Microwave Remote plasma chamber NF3 Plasma CVD chamber F F F N2 F N2 F Heated plate N2, SiF4, … To pump Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Remote Plasma CVD (RPCVD) Epitaxial Si-Ge for high-speed BiCMOS Still in R&D Gate dielectric: SiO2, SiON, and Si3N4 High-k dielectrics: HfO2, TiO2, and Ta2O5 PMD barrier nitride LPCVD: budget limitations PECVD: plasma induced damage Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

High-density Plasma High-density at low pressure are desired Lower pressure longer MFP, less ion scattering, enhances etch profile control. Higher density, more ions and free radicals Enhance chemical reaction Increase ion bombardment For CVD processes, HDP in-situ, simultaneous dep/etch/dep enhance gap fill Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Limitation of Parallel Plate Plasma Source Capacitively coupled plasma source Can not generate high-density plasma Hard to generate plasma even with magnets at low pressure, about a few mTorr. electron MFP too long, no enough ionization collisions. Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Limitation of Parallel Plate Plasma Source Cannot independently control ion flux and ion energy Both are directly related to RF power Better process control requires a plasma source that capable to independently control both of them Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

ICP and ECR Most commonly used in IC industry Inductively coupled plasma, ICP also called transformer coupled plasma, or TCP Electron cyclotron resonance, ECR, Low press at few mTorr Independently control ion flux and ion energy Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Inductively Coupled Plasma (ICP) RF current flows in the coils generates a changing electric field via inductive coupling The angular electric field accelerates electrons in angular direction. Electrons to travel a long distance without collision with the chamber wall or electrode. Ionization collisions generate high-density plasma at low pressure Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Inductively Coupled Plasma (ICP) Bias RF power controls the ion energy Source RF power controls the ion flux Helium backside cooling system with E-chuck controls wafer temperature Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Illustration of Inductive Coupling RF current in coil Induced electric field RF magnetic field Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Schematic of ICP Chamber Inductive coils Ceramic cover Source RF Plasma Wafer Chamber body Bias RF E-chuck Helium Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Application of ICP Dielectric CVD All patterned etch processes Sputtering clean prior to metal deposition Metal plasma PVD Plasma immersion ion implantation Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

ECR Gyro-frequency or cyclotron frequency: Determined by magnetic field Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

ECR Electron cyclotron resonance when wMW = We Electrons get energy from MW Energetic electrons collide with other atoms or molecules Ionization collisions generate more electrons Electrons are spiraling around the field line Many collisions even at very low pressure Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Illustration of ECR Electron trajectory B Microwave Power Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Illustration of ECR Microwave Magnetic Coils ECR Plasma Magnetic field line Wafer Bias RF E-chuck Helium Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

ECR Bias RF power controls the ion energy Microwave power controls the ion flux Magnet coil current controls plasma position and process uniformity Helium backside cooling system with E-chuck controls wafer temperature Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Application of ECR Dielectric CVD All patterned etch processes Plasma immersion ion implantation Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Summary Plasma is ionized gas with n– = n+ Plasma consist of n, e, and i Ionization, excitation-relaxation, dissociation Ion bombardment help increase etch rate and achieve anisotropic etch Light emission can be used for etch end point MFP and its relationship with pressure Ions from plasma always bombard electrodes Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm

Summary Increasing RF power increases both ion flux and ion energy in capacitive coupled plasmas Low frequency RF power gives ions more energy, causes heavier ion bombardment The etch processes need much more ion bombardment than the PECVD Low pressure, high density plasma are desired ICP and ECR are two HDP systems used in IC fabrication Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm