Communication IC & Signal Processing Lab. Chih-Peng Fan1 PreSim CoreGenerator IP in ISE 5.1i with Verilog HDL.

Slides:



Advertisements
Similar presentations
Xilinx 6.3 Tutorial Integrated Software Environment (ISE) Set up basic environment Select Gates or Modules to Be simulated (Insert Program Code) Run Waveform.
Advertisements

Hub The Only Co-Simulation Tool of Its Kind on the Market The Only Co-Simulation Tool of Its Kind on the Market.
Stimulus and Response. Simple Stimulus Verifying the Output Self-Checking Testbenches Complex Stimulus Complex Response Predicting the Output.
Chapter 15:Introduction to Verilog Testbenches Objectives In this section,you will learn about designing a testbench: Creating clocks Including files Strategic.
Verilog XL Tutorial By Greg Edmiston Scott McClure August 2004.
Counters Discussion D8.3.
Verilog in transistor level using Microwind
Verilog.
Simulation executable (simv)
16/04/20151 Hardware Descriptive Languages these notes are taken from Mano’s book It can represent: Truth Table Boolean Expression Diagrams of gates and.
Anurag Dwivedi.  Verilog- Hardware Description Language  Modules  Combinational circuits  assign statement  Control statements  Sequential circuits.
CSE 201 Computer Logic Design * * * * * * * Verilog Modeling
//HDL Example 5-1 // //Description of D latch (See Fig.5-6) module D_latch (Q,D,control); output Q; input.
Verilog. 2 Behavioral Description initial:  is executed once at the beginning. always:  is repeated until the end of simulation.
FSM Revisit Synchronous sequential circuit can be drawn like below  These are called FSMs  Super-important in digital circuit design FSM is composed.
//HDL Example 6-1 // //Behavioral description of //Universal shift register // Fig. 6-7 and Table 6-3 module shftreg.
How to get a Circuit in verilog converted to hspice, connected to the micron package models, and simulating in hspice and hsimplus.
1 Verilog Digital Computer Logic Kashif Bashir WWW: http//:
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
ECE 272 Xilinx Tutorial. Workshop Goals Learn how to use Xilinx to: Draw a schematic Create a symbol Generate a testbench Simulate your circuit.
Pulse-Width Modulated DAC
Communication IC & Signal Processing Lab. Chih-Peng Fan1 PostSim CoreGenerator IP in ISE 5.1i with Verilog HDL.
ECE 353 Computer Systems Lab I Verilog Hardware Description Language.
Verilog Lab This presentation includes some material that is selected from BUCKNELL VERILOG HANDBOOK. Instructor: Dr. Charles Liu Prepared by John Ren.
Arbitrary Waveform Discussion 12.2 Example 34. Recall Divide-by-8 Counter Use q2, q1, q0 as inputs to a combinational circuit to produce an arbitrary.
2-to-1 Multiplexer: if Statement Discussion D7.1 Example 4.
Hardware Description Language HDL. 2 Hardware Description Language HDL  Describes circuits and systems in text. −As a software program.  Can be processed.
D Flip-Flops in Verilog Discussion 10.3 Example 27.
Quad 2-to-1 Multiplexer Discussion D7.4 Example 7.
Engineering 100 Section 250 Combinational Logic -- Examples 9/13/2010.
Guest Lecture by Ben Magstadt CprE 281: Digital Logic.
RTL Coding tips Lecture 7,8 Prepared by: Engr. Qazi Zia, Assistant Professor EED, COMSATS Attock.
Guest Lecture by Ben Magstadt CprE 281: Digital Logic.
Week Four Design & Simulation Example slides. Agenda Review the tiny example (Minako “logic”)from last week – look at the detailed static timing report.
1 Workshop Topics - Outline Workshop 1 - Introduction Workshop 2 - module instantiation Workshop 3 - Lexical conventions Workshop 4 - Value Logic System.
Spring Introduction  Today’s tutorial focuses on introducing you to Xilinx ISE and Modelsim.  These tools are used for Verilog Coding Simulation.
Department of Communication Engineering, NCTU 1 Unit 5 Programmable Logic and Storage Devices – RAMs and FPGAs.
Module 2.1 Gate-Level/Structural Modeling UNIT 2: Modeling in Verilog.
FPGA-Based System Design Copyright  2004 Prentice Hall PTR Logic Design Process n Functional/ Non-functional requirements n Mapping into an FPGA n Hardware.
Simulating a Verilog Description module bigtest;. calc1_top D1(out_data1, out_data2, out_data3, out_data4, out_resp1, out_resp2, out_resp3, out_resp4,
© 2003 Xilinx, Inc. All Rights Reserved System Simulation.
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
© 2005 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU HDL Co-Simulation.
Speaker: Tsung-Yi Wu FPGA Design Flow (Part 2) : Simulation.
Component Design By the end of the course each student will design and test a MIPS processor Datapath components will be designed and tested in the next.
CSCI-365 Computer Organization Lecture Note: Some slides and/or pictures in the following are adapted from: Computer Organization and Design, Patterson.
Lab for Cell-Based IC Design
 A test bench is an HDL program used for applying stimulus to an HDL design in order to test it and observe its response during simulation.  In addition.
Micron Technology Clinic Tool Flow and Comparison of Micron Package Models Presenter: Michael Tomer Advisor: Dr. Ken Stevens.
CSE/CoE 535 : Attig 1 ModelSim Tutorial for CSE 535 Michael Attig
Introduction to Verilog. Data Types A wire specifies a combinational signal. – Think of it as an actual wire. A reg (register) holds a value. – A reg.
Introduction to Verilog Section Outline Set Up the Environment Your First Verilog File Set Up the Test Bench Running the Simulation.
Teaching Digital Logic courses with Altera Technology
CprE 281: Verilog Tutorial Ben Magstadt – Master’s Student Electrical Engineering.
1 VHDL & Verilog Simulator. Modelsim. 2 Change the directory to where your files exist (All of the files must be in a same folder). Modelsim.
© 2005 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU CORE Generator System.
Tutorial for Modelsim 1 Installation Download the Modelsim Student Edition: Follow the.
Import and Implement QuartusII Megawizard library to modelsim simulation.
Lecture 5. Verilog HDL #3 Prof. Taeweon Suh Computer Science & Engineering Korea University COSE221, COMP211 Logic Design.
VLSI Synthesis and Simulation Tools Nitin Yogi 01/09/2009
EECE6017C - Lab 0 Introduction to Altera tools and Basic Digital Logic
Test Fixture (Testbench)
UCSD ECE 111 Prof. Farinaz Koushanfar Fall 2017
The Verilog Hardware Description Language
Doing the VCS Assignment
The Verilog Hardware Description Language
Today’s Lab Start working with Xilinx
Test Fixture Template module testfixture ; // data type declaration
Mr. Pradeep J NATIONAL INSTITUTE OF TECHNOLOGY,
Presentation transcript:

Communication IC & Signal Processing Lab. Chih-Peng Fan1 PreSim CoreGenerator IP in ISE 5.1i with Verilog HDL

Communication IC & Signal Processing Lab. Chih-Peng Fan2 Step 1. Compile CoreGen libarary compxlib -s mti_pe -f all -l all -o c:\modeltech_5.6b\xilinx_libs

Chih-Peng Fan3 Step 2. Create CoreGen libarary Verilog lib

Communication IC & Signal Processing Lab. Chih-Peng Fan4 Step 3. Run Xilinx CORE Generator

Communication IC & Signal Processing Lab. Chih-Peng Fan5 Step 4. Choose Multiplier IP

Communication IC & Signal Processing Lab. Chih-Peng Fan6 Step 5. Create files from CORE Generator *.v is the key

Communication IC & Signal Processing Lab. Chih-Peng Fan7 Step 6. Prepare a top file for mult8x8.v (from CoreGen) module Top_mult8x8(a,b,o); input [7:0] a,b; output [15:0] o; // Begin Cut here for INSTANTIATION Template --- // INST_TAG mult8x8 ttt (.a(a),.b(b),.o(o)); // INST_TAG_END endmodule Top_mult8x8.v

Communication IC & Signal Processing Lab. Chih-Peng Fan8 Step 7. Prepare files for Pre-simulation

Communication IC & Signal Processing Lab. Chih-Peng Fan9 Step 8. Run ModelSim, then change the directory

Communication IC & Signal Processing Lab. Chih-Peng Fan10 Step 9. Create a New Library

Communication IC & Signal Processing Lab. Chih-Peng Fan11 Step 10. Create a New Project

Communication IC & Signal Processing Lab. Chih-Peng Fan12 Step 11. Add source *.v files in the Project

Communication IC & Signal Processing Lab. Chih-Peng Fan13 Step 12. Compile all *.v files

Communication IC & Signal Processing Lab. Chih-Peng Fan14 Step 13. Run Simulate …

Communication IC & Signal Processing Lab. Chih-Peng Fan15 Step 14. Add simulation library

Communication IC & Signal Processing Lab. Chih-Peng Fan16 Step 15. Load the simulation module

Communication IC & Signal Processing Lab. Chih-Peng Fan17 Step 16. Create a waveform window

Communication IC & Signal Processing Lab. Chih-Peng Fan18 Step 17. Generate the waveform

Communication IC & Signal Processing Lab. Chih-Peng Fan19 test_mult8x8.v `timescale 1ns / 100ps module stimulus; reg[7:0] A,B; wire[15:0] PRODUCT; reg CLK; reg Reset; reg [15:0] D_PRODUCT; reg [7:0] IN_A [3:0]; reg [7:0] IN_B [3:0]; reg [1:0] index; // Top_mult8x8 mult2 (A,B,PRODUCT); // always #10 CLK=~CLK; initial begin Reset=1'b0; CLK=1'b0; #25 Reset=1'b1; end ………… ………….