Joe Gebis Computer Science Division University of California, Berkeley IRAM CAD Status and Plan.

Slides:



Advertisements
Similar presentations
Digital Systems Verification Lecture 13 Alessandra Nardi.
Advertisements

TOPIC : SYNTHESIS DESIGN FLOW Module 4.3 Verilog Synthesis.
Jared Casper, Ronny Krashinsky, Christopher Batten, Krste Asanović MIT Computer Science and Artificial Intelligence Laboratory, Cambridge, MA, USA A Parameterizable.
Robust Low Power VLSI R obust L ow P ower VLSI Synthesizing SRAM timing and Periphery using Synopsis By: Jim Boley.
Ch.3 Overview of Standard Cell Design
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
ECAD Tool Flows These notes are taken from the book: It’s The Methodology, Stupid! by Pran Kurup, Taher Abbasi, Ricky Bedi, Publisher ByteK Designs,
CSE241 Formal Verification.1Cichy, UCSD ©2003 CSE241A VLSI Digital Circuits Winter 2003 Recitation 6: Formal Verification.
Graduate Computer Architecture I Lecture 15: Intro to Reconfigurable Devices.
Timing Analysis Timing Analysis Instructor: Dr. Vishwani D. Agrawal ELEC 7770 Advanced VLSI Design Team Project.
7/14/2000 Page 1 Design of the IRAM FPU Ioannis Mavroidis IRAM retreat July 12-14, 2000.
The Design Process Outline Goal Reading Design Domain Design Flow
A Timing-Driven Soft-Macro Resynthesis Method in Interaction with Chip Floorplanning Hsiao-Pin Su 1 2 Allen C.-H. Wu 1 Youn-Long Lin 1 1 Department of.
9 th Sept, VLSI Design & Test seminar series, Fall 2009, Auburn University, Auburn, AL Low Power Implementation of ARM1176JZF-S by Manish Kulkarni.
VIRAM-1 Architecture Update and Status Christoforos E. Kozyrakis IRAM Retreat January 2000.
Logic Design Outline –Logic Design –Schematic Capture –Logic Simulation –Logic Synthesis –Technology Mapping –Logic Verification Goal –Understand logic.
Altera’s Quartus II Installation, usage and tutorials Gopi Tummala Lab/Office Hours : Friday 2:00 PM to.
An Introduction to Synopsys Design Automation Jeremy Lee November 7, 2007.
Retrospective on the VIRAM-1 Design Decisions Christoforos E. Kozyrakis IRAM Retreat January 9, 2001.
1 3/22/02 Benchmark Update u Carnegie Cell Library: “Free to all who Enter” s Need to build scaling model of standard cell library s Based on our open.
Automobiles The Scale Vector-Thread Processor Modern embedded systems Multiple programming languages and models Multiple distinct memories Multiple communication.
Foundation and XACTstepTM Software
Timing control in verilog Module 3.1 Delays in Verilog.
Design Tools, Flows and Library Aspects during the FE-I4 Implementation on Silicon Vladimir Zivkovic National Institute for Subatomic Physics Amsterdam,
EDA TOOLS. Why EDA? Imagine a Intel based micro processor having 1.5 million transistors. Would it be feasible to design such a complex system with help.
VerificationTechniques for Macro Blocks (IP) Overview Inspection as Verification Adversarial Testing Testbench Design Timing Verification.
Supply Voltage Biasing in Synopsys Andy Whetzel University of Virginia 1.
Robust Low Power VLSI R obust L ow P ower VLSI Memory Management Units for Instruction and Data Cache for OR1200 CPU Core Arijit Banerjee ASIC/SOC Class.
1 Chapter 2. The System-on-a-Chip Design Process Canonical SoC Design System design flow The Specification Problem System design.
FPGA-Based System Design: Chapter 4 Copyright  2004 Prentice Hall PTR HDL coding n Synthesis vs. simulation semantics n Syntax-directed translation n.
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
CADENCE CONFIDENTIAL 1CADENCE DESIGN SYSTEMS, INC. Cadence Formal Verification 2003 Beijing International Microelectronics Symposium C. Michael Chang Vice.
ASIC Design Flow – An Overview Ing. Pullini Antonio
Xilinx Development Software Design Flow on Foundation M1.5
Hardware Design Environment Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
HDL-Based Layout Synthesis Methodologies Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
Lecture 2 1 ECE 412: Microcomputer Laboratory Lecture 2: Design Methodologies.
Fully Pipelined FPU for OR1200
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
Introduction to FPGA Created & Presented By Ali Masoudi For Advanced Digital Communication Lab (ADC-Lab) At Isfahan University Of technology (IUT) Department.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL ASICs vs. FPGAs ECE 448 Lecture 15.
DFT Compiler Synopsys Customer Education Services
EE 466/586 VLSI Design Partha Pande School of EECS Washington State University
Tools - Design Manager - Chapter 6 slide 1 Version 1.5 FPGA Tools Training Class Design Manager.
DEVICES AND DESIGN : ASIC. DEFINITION Any IC other than a general purpose IC which contains the functionality of thousands of gates is usually called.
IMPLEMENTATION OF MIPS 64 WITH VERILOG HARDWARE DESIGN LANGUAGE BY PRAMOD MENON CET520 S’03.
UltraSPARC III Hari P. Ananthanarayanan Anand S. Rajan.
Greg Alkire/Brian Smith 197 MAPLD An Ultra Low Power Reconfigurable Task Processor for Space Brian Smith, Greg Alkire – PicoDyne Inc. Wes Powell.
ASIC/FPGA design flow. Design Flow Detailed Design Detailed Design Ideas Design Ideas Device Programming Device Programming Timing Simulation Timing Simulation.
Corflow Online Tutorial Eric Chung
Physical Design of FabScalar Generated Cores EE6052 Class Project Wei Zhang.
Written by Whitney J. Wadlow
3D Design IPHC Frédéric Morel - Grégory Bertolone - Claude Colledani.
-1- Soft Core Viterbi Decoder EECS 290A Project Dave Chinnery, Rhett Davis, Chris Taylor, Ning Zhang.
1 EE 382M VLSI 1 EE 360R Computer-Aided Integrated Circuit Design Lab 1 Demo Fall 2011 Whitney J. Wadlow.
ASIC Design Methodology
Written by Whitney J. Wadlow
Top-level Schematics Digital Block Sign-off Digital Model of Chip
Topics HDL coding for synthesis. Verilog. VHDL..
Timing Analysis 11/21/2018.
Verilog to Routing CAD Tool Optimization
332:437 Lecture 7 Verilog Hardware Description Language Basics
332:437 Lecture 7 Verilog Hardware Description Language Basics
332:437 Lecture 7 Verilog Hardware Description Language Basics
EE382M VLSI 1 LAB 1 DEMO FALL 2018.
Measuring the Gap between FPGAs and ASICs
Design Methodology & HDL
Presentation transcript:

Joe Gebis Computer Science Division University of California, Berkeley IRAM CAD Status and Plan

Joe Gebis, IRAM Retreat, Winter Outline CAD paths: –Custom layout –Synthesized –Macros Tool status

Joe Gebis, IRAM Retreat, Winter Combining various sources Memory Bank 0 Memory Bank 2 Memory Bank 4 Memory Bank 6 Memory Bank 1 Memory Bank 3 Memory Bank 5 Memory Bank 7 Crossbar Vector Lane 3 Vector Lane 2 Vector Lane 1 Vector Lane 0 Scalar core I/O FPU CTL Custom Macros Synthesized (UCB logic) Synthesized (Ext. logic)

Joe Gebis, IRAM Retreat, Winter Synthesized logic From external sources: scalar core, FP datapath From UCB: vector control, FPU VCS simulation, debugging synopsys synthesis apollo, saturn place+route, layout and timing optimization timemill dynamic timing primetime static timing hercules design rules check

Joe Gebis, IRAM Retreat, Winter Custom logic Crossbar Vector integer unit, register file spice circuit design cadence layout hercules design rules check starex ideal netlist extraction starrc parasitics extraction spice timing timemill timing verilog functional verification

Joe Gebis, IRAM Retreat, Winter Macros, top-level routing DRAM, SRAM macros synthesized blocks custom layout macrosapollo block placement, top-level routing hercules design rules check

Joe Gebis, IRAM Retreat, Winter Additional scripts Tiling generating layout wires, labels post-layout fixup netlist formatting for simulation etc Formatting with perl scripts Layout manipulation with custom GDS library

Joe Gebis, IRAM Retreat, Winter Tools status All tools installed, licensed Currently being used: –vcs, synopsys, cadence, hercules, starex, starrc, spice Set up: –apollo, timemill To be set up: –saturn, primetime

Joe Gebis, IRAM Retreat, Winter Computing resources Cluster of machines behind a firewall –10 Tatung UltraSparc U60 clones (400 MHz, 2 GB) High-memory machine for large extractions –Sun Ultra-4 with 4 GB VCS can run on UCB Millennium cluster –Dozens of 4-way 600 MHz servers