COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals.

Slides:



Advertisements
Similar presentations
Digital System Design Subject Name : Digital System Design Course Code : IT-314.
Advertisements

FPGA (Field Programmable Gate Array)
ECE Synthesis & Verification - Lecture 2 1 ECE 667 Spring 2011 ECE 667 Spring 2011 Synthesis and Verification of Digital Circuits High-Level (Architectural)
EELE 367 – Logic Design Module 2 – Modern Digital Design Flow Agenda 1.History of Digital Design Approach 2.HDLs 3.Design Abstraction 4.Modern Design Steps.
Modern VLSI Design 3e: Chapter 10 Copyright  2002 Prentice Hall Adapted by Yunsi Fei ECE 300 Advanced VLSI Design Fall 2006 Lecture 24: CAD Systems &
CMPT150, Ch 3, Tariq Nuruddin, Fall 06, SFU 1 Ch3. Combinatorial Logic Design Modern digital design involves a number of techniques and tools essential.
ICS 252 Introduction to Computer Design winter 2005 Eli Bozorgzadeh Computer Science Department-UCI.
MICROELETTRONICA Design methodologies Lection 8. Design methodologies (general) Three domains –Behavior –Structural –physic Three levels inside –Architectural.
COE 561 Digital System Design & Synthesis Architectural Synthesis Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum.
1 HW/SW Partitioning Embedded Systems Design. 2 Hardware/Software Codesign “Exploration of the system design space formed by combinations of hardware.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
Spring 08, Jan 15 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals.
Physical Design Outline –What is Physical Design –Design Methods –Design Styles –Analysis and Verification Goal –Understand physical design topics Reading.
Evolution of implementation technologies
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
ENEE 644 Dr. Ankur Srivastava Office: 1349 A.V. Williams URL: Computer-Aided Design of.
COE 405 Hardware Design Environments
COE 405 Introduction to Digital Design Methodology
(1) Introduction © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
GOOD MORNING.
COE 561 Digital System Design & Synthesis Architectural Synthesis Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum.
Design methodology.
Electronic Design Automation. Course Outline 1.Digital circuit design flow 2.Verilog Hardware Description Language 3.Logic Synthesis –Multilevel logic.
Shashi Kumar 1 Logic Synthesis: Course Introduction Shashi Kumar Embedded System Group Department of Electronics and Computer Engineering Jönköping Univ.
CAD Techniques for IP-Based and System-On-Chip Designs Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
Principles Of Digital Design Chapter 1 Introduction Design Representation Levels of Abstraction Design Tasks and Design Processes CAD Tools.
CAD for Physical Design of VLSI Circuits
PROGRAMMABLE LOGIC DEVICES (PLD)
Computer-Aided Design of Digital VLSI Circuits & Systems Priyank Kalla Dept. of Elec. & Comp. Engineering University of Utah,SLC Perspectives on Next-Generation.
40551 Logic Synthesis Optimization Dr. Yaser M. Agami Khalifa Fall 2004 Lecture # 1.
Section 10: Advanced Topics 1 M. Balakrishnan Dept. of Comp. Sci. & Engg. I.I.T. Delhi.
1 H ardware D escription L anguages Modeling Digital Systems.
1 Moore’s Law in Microprocessors Pentium® proc P Year Transistors.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
J. Christiansen, CERN - EP/MIC
COE 405 Design and Modeling of Digital Systems
COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals.
UNIT 1 Introduction. 1-2 OutlineOutline n Course Topics n Microelectronics n Design Styles n Design Domains and Levels of Abstractions n Digital System.
ECE 3110: Introduction to Digital Systems Introduction (Contd.)
EE 466/586 VLSI Design Partha Pande School of EECS Washington State University
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
System-level power analysis and estimation September 20, 2006 Chong-Min Kyung.
EE 5900 Advanced Algorithms for Robust VLSI CAD, Spring 2009 Combinational Circuits.
Henry Selvaraj 1 Henry Selvaraj; Henry Selvaraj; Henry Selvaraj; Logic Synthesis EEG 707 Dr Henry Selvaraj Department of Electrical and Computer Engineering.
FPGA-Based System Design: Chapter 1 Copyright  2004 Prentice Hall PTR Moore’s Law n Gordon Moore: co-founder of Intel. n Predicted that number of transistors.
Integrated Microsystems Lab. EE372 VLSI SYSTEM DESIGNE. Yoon 1-1 Panorama of VLSI Design Fabrication (Chem, physics) Technology (EE) Systems (CS) Matel.
ECE 3110: Introduction to Digital Systems Introduction (Contd.)
Delivered by.. Love Jain p08ec907. Design Styles  Full-custom  Cell-based  Gate array  Programmable logic Field programmable gate array (FPGA)

SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
Introduction to ASICs ASIC - Application Specific Integrated Circuit
ELEC 7770 Advanced VLSI Design Spring 2016 Introduction
EEE2135 Digital Logic Design Chapter 1. Introduction
Introduction Introduction to VHDL Entities Signals Data & Scalar Types
ELEC 7770 Advanced VLSI Design Spring 2014 Introduction
ELEC 7770 Advanced VLSI Design Spring 2012 Introduction
COE 561 Digital System Design & Synthesis Introduction
Lesson 4 Synchronous Design Architectures: Data Path and High-level Synthesis (part two) Sept EE37E Adv. Digital Electronics.
ELEC 7770 Advanced VLSI Design Spring 2010 Introduction
ECNG 1014: Digital Electronics Lecture 1: Course Overview
ECE-C662 Introduction to Behavioral Synthesis Knapp Text Ch
Architectural-Level Synthesis
HIGH LEVEL SYNTHESIS.
Combinational Circuits
COE 561 Digital System Design & Synthesis Introduction
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL code ECE 448 – FPGA and ASIC Design.
Combinational Circuits
Presentation transcript:

COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals

1-2 OutlineOutline n Course Topics n Microelectronics n Design Styles n Design Domains and Levels of Abstractions n Digital System Design n Synthesis Process n Design Optimization n Course Topics n Microelectronics n Design Styles n Design Domains and Levels of Abstractions n Digital System Design n Synthesis Process n Design Optimization

1-3 Course Topics … n INTRODUCTION Microelectronics, semiconductor technologies, microelectronic design styles, design representations, levels of abstraction & domains, Y-chart, system synthesis and optimization, issues in system synthesis. 0.5 week n MODELING OF DIGITAL SYSTEMS Introduction to Hardware description languages(HDLs). Hardware Description and design using VHDL. Basic modeling concepts, Language elements, Behavioral modeling, Dataflow modeling, Structural modeling, some hardware modeling examples. 1.5 weeks n LOGIC SYNTHESIS 6.5 weeks n Introduction to logic synthesis Boolean functions representation, Binary Decision Diagrams, Satisfiability and Cover problems 0.5 week n INTRODUCTION Microelectronics, semiconductor technologies, microelectronic design styles, design representations, levels of abstraction & domains, Y-chart, system synthesis and optimization, issues in system synthesis. 0.5 week n MODELING OF DIGITAL SYSTEMS Introduction to Hardware description languages(HDLs). Hardware Description and design using VHDL. Basic modeling concepts, Language elements, Behavioral modeling, Dataflow modeling, Structural modeling, some hardware modeling examples. 1.5 weeks n LOGIC SYNTHESIS 6.5 weeks n Introduction to logic synthesis Boolean functions representation, Binary Decision Diagrams, Satisfiability and Cover problems 0.5 week

1-4 … Course Topics … n Two-level logic synthesis and optimization Logic minimization principles, Exact logic minimization, Heuristic logic minimization, The Espresso minimizer, Testability properties of two-level circuits.1 week n Multi-level logic synthesis and optimization Models and transformations of combinational networks: elimination, decomposition, extraction. The algebraic model: algebraic divisors, kernel set computation, algebraic extraction and decomposition. The Boolean model: Don’t care conditions and their computations, input controllability and output observability don’t care sets, Boolean simplification and substitution. Optimization based on redundancy addition and removal. Transduction, Global flow. Testability properties of multilevel circuits. Synthesis of minimal delay circuits. Rule-based systems for logic optimization. 2 weeks n Two-level logic synthesis and optimization Logic minimization principles, Exact logic minimization, Heuristic logic minimization, The Espresso minimizer, Testability properties of two-level circuits.1 week n Multi-level logic synthesis and optimization Models and transformations of combinational networks: elimination, decomposition, extraction. The algebraic model: algebraic divisors, kernel set computation, algebraic extraction and decomposition. The Boolean model: Don’t care conditions and their computations, input controllability and output observability don’t care sets, Boolean simplification and substitution. Optimization based on redundancy addition and removal. Transduction, Global flow. Testability properties of multilevel circuits. Synthesis of minimal delay circuits. Rule-based systems for logic optimization. 2 weeks

1-5 … Course Topics … n Sequential Logic Synthesis Introduction to FSM Networks, Finite state minimization, state encoding: state encoding for two-level circuits, state encoding for multilevel circuits, Finite state machine decomposition, Retiming, Implicit finite state machine traversal methods, and Testability consideration for synchronous sequential circuits. 2 weeks n Technology Mapping Problem formulation and analysis, Library binding approaches – Structural matching, Boolean matching, Covering & Rule based approach, Case studies – Mapping the design onto FPGAs. 1 week n Sequential Logic Synthesis Introduction to FSM Networks, Finite state minimization, state encoding: state encoding for two-level circuits, state encoding for multilevel circuits, Finite state machine decomposition, Retiming, Implicit finite state machine traversal methods, and Testability consideration for synchronous sequential circuits. 2 weeks n Technology Mapping Problem formulation and analysis, Library binding approaches – Structural matching, Boolean matching, Covering & Rule based approach, Case studies – Mapping the design onto FPGAs. 1 week

1-6 … Course Topics … n HIGH LEVEL SYNTHESIS 6.5 weeks n Introduction to High level synthesis n Design representation and transformations Design flow in high level synthesis, HDL compilation, internal representation (CDFG), data flow and control sequencing graphs, data-flow based transformations. 0.5 week n Architectural Synthesis Circuit specifications: resources and constraints, scheduling, binding, area and performance optimization, datapath synthesis, control unit synthesis, synthesis of pipelined circuits. 2 weeks n HIGH LEVEL SYNTHESIS 6.5 weeks n Introduction to High level synthesis n Design representation and transformations Design flow in high level synthesis, HDL compilation, internal representation (CDFG), data flow and control sequencing graphs, data-flow based transformations. 0.5 week n Architectural Synthesis Circuit specifications: resources and constraints, scheduling, binding, area and performance optimization, datapath synthesis, control unit synthesis, synthesis of pipelined circuits. 2 weeks

1-7 … Course Topics n Scheduling Unconstrained scheduling: ASAP scheduling, Latency- constrained scheduling: ALAP scheduling, time-constrained scheduling, resource constrained scheduling, Heuristic scheduling algorithms: List scheduling, force-directed scheduling. 2 weeks n Allocation and Binding resource sharing, register sharing, multi-port memory binding, bus sharing and binding, unconstrained minimum- performance-constrained binding, concurrent binding and scheduling. 2 weeks n Scheduling Unconstrained scheduling: ASAP scheduling, Latency- constrained scheduling: ALAP scheduling, time-constrained scheduling, resource constrained scheduling, Heuristic scheduling algorithms: List scheduling, force-directed scheduling. 2 weeks n Allocation and Binding resource sharing, register sharing, multi-port memory binding, bus sharing and binding, unconstrained minimum- performance-constrained binding, concurrent binding and scheduling. 2 weeks

1-8 MicroelectronicsMicroelectronics n Enabling and strategic technology for development of hardware and software n Primary markets: Information systems. Telecommunications. Consumer. n Trends in microelectronics Improvements in device technology: Smaller circuits. Higher performance. More devices on a chip. Higher degree of integration. More complex systems. Lower cost in packaging and interconnect. Higher performance. Higher reliability. n Enabling and strategic technology for development of hardware and software n Primary markets: Information systems. Telecommunications. Consumer. n Trends in microelectronics Improvements in device technology: Smaller circuits. Higher performance. More devices on a chip. Higher degree of integration. More complex systems. Lower cost in packaging and interconnect. Higher performance. Higher reliability.

1-9 Moore’s Law

1-10 Microelectronic Design Problems n Use most recent technologies: to be competitive in performance. n Reduce design cost: to be competitive in price. n Speed-up design time: Time-to-market is critical. n Design Cost Design time and fabrication cost. Large capital investment. Near impossibility to repair. n Recapture costs: Large volume production is beneficial. Zero-defect designs are essential. Follow market evolution. n Use most recent technologies: to be competitive in performance. n Reduce design cost: to be competitive in price. n Speed-up design time: Time-to-market is critical. n Design Cost Design time and fabrication cost. Large capital investment. Near impossibility to repair. n Recapture costs: Large volume production is beneficial. Zero-defect designs are essential. Follow market evolution.

1-11 Microelectronic Circuits n General-purpose processors: High-volume sales. High performance. n Application-Specific Integrated Circuits (ASICs): Varying volumes and performances. Large market share. n Prototypes. n Special applications (e.g. space). n General-purpose processors: High-volume sales. High performance. n Application-Specific Integrated Circuits (ASICs): Varying volumes and performances. Large market share. n Prototypes. n Special applications (e.g. space).

1-12 Computer-Aided Design n Enabling design methodology. n Makes electronic design possible: Large scale design management. Design optimization. Feasible implementation choices grow rapidly with circuit size Reduced design time. n CAD tools have reached good level of maturity. n Continuous grows in circuit size and advances in technology requires CAD tools with increased capability. n CAD tools affected by Semiconductor technology Circuit type n Enabling design methodology. n Makes electronic design possible: Large scale design management. Design optimization. Feasible implementation choices grow rapidly with circuit size Reduced design time. n CAD tools have reached good level of maturity. n Continuous grows in circuit size and advances in technology requires CAD tools with increased capability. n CAD tools affected by Semiconductor technology Circuit type

1-13 Microelectronics Design Styles n Adapt circuit design style to market requirements n Parameters: Cost. Performance. Volume. n Full custom Maximal freedom High performance blocks Slow n Semi-custom Standard Cells Gate Arrays Mask Programmable (MPGAs) Field Programmable (FPGAs)) Silicon Compilers & Parametrizable Modules (adder, multiplier, memories) n Adapt circuit design style to market requirements n Parameters: Cost. Performance. Volume. n Full custom Maximal freedom High performance blocks Slow n Semi-custom Standard Cells Gate Arrays Mask Programmable (MPGAs) Field Programmable (FPGAs)) Silicon Compilers & Parametrizable Modules (adder, multiplier, memories)

1-14 Semi-Custom Design Styles

1-15 Standard Cells n Cell library: Cells are designed once. Cells are highly optimized. n Layout style: Cells are placed in rows. Channels are used for wiring. Over the cell routing. n Compatible with macro-cells (e.g. RAMs). n Cell library: Cells are designed once. Cells are highly optimized. n Layout style: Cells are placed in rows. Channels are used for wiring. Over the cell routing. n Compatible with macro-cells (e.g. RAMs).

1-16 Macro-cellsMacro-cells n Module generators: Synthesized layout. Variable area and aspect-ratio. n Examples: RAMs, ROMs, PLAs, general logic blocks. n Features: Layout can be highly optimized. Structured-custom design. n Module generators: Synthesized layout. Variable area and aspect-ratio. n Examples: RAMs, ROMs, PLAs, general logic blocks. n Features: Layout can be highly optimized. Structured-custom design.

1-17 Array-based design n Pre-diffused arrays: Personalization by metalization/contacts. Mask-Programmable Gate-Arrays. n Pre-wired arrays: Personalization on the field. Field-Programmable Gate-Arrays. n Pre-diffused arrays: Personalization by metalization/contacts. Mask-Programmable Gate-Arrays. n Pre-wired arrays: Personalization on the field. Field-Programmable Gate-Arrays.

1-18 MPGAs & FPGAs n MPGAs: Array of sites: Each site is a set of transistors. Batches of wafers can be pre-fabricated. Few masks to personalize chip. Lower cost than cell-based design. n FPGAs: Array of cells: Each cell performs a logic function. Personalization: Soft: memory cell (e.g. Xilinx). Hard: Anti-fuse (e.g. Actel). Immediate turn-around (for low volumes). Inferior performances and density. Good for prototyping. n MPGAs: Array of sites: Each site is a set of transistors. Batches of wafers can be pre-fabricated. Few masks to personalize chip. Lower cost than cell-based design. n FPGAs: Array of cells: Each cell performs a logic function. Personalization: Soft: memory cell (e.g. Xilinx). Hard: Anti-fuse (e.g. Actel). Immediate turn-around (for low volumes). Inferior performances and density. Good for prototyping.

1-19 Semi-custom style trade-off

1-20 Example: AT&T ASIC Chip

1-21 Example: DEC AXP Chip designed using Macro Cells

1-22 Example: Mask Programmable Gate Array from IBM Enterprise System 9000

1-23 Example: Field Programmable Gate Array from Actel

1-24 Microelectronic Circuit Design and Production

1-25 How to Deal with Design Complexity? n Moore’s Law: Number of transistors that can be packed on a chip doubles every 18 months while the price stays the same. n Hierarchy: structure of a design at different levels of description n Abstraction: hiding the lower level details. n Moore’s Law: Number of transistors that can be packed on a chip doubles every 18 months while the price stays the same. n Hierarchy: structure of a design at different levels of description n Abstraction: hiding the lower level details.

1-26 Design Hierarchy Top – Down Bottom – UP

1-27 AbstractionsAbstractions n An Abstraction is a simplified model of some Entity which hides certain amount of the Internal details of this Entity n Lower Level abstractions give more details of the modeled Entity. n Several levels of abstractions (details) are commonly used: System Level Chip Level Register Level Gate Level Circuit (Transistor) Level Layout (Geometric) Level n An Abstraction is a simplified model of some Entity which hides certain amount of the Internal details of this Entity n Lower Level abstractions give more details of the modeled Entity. n Several levels of abstractions (details) are commonly used: System Level Chip Level Register Level Gate Level Circuit (Transistor) Level Layout (Geometric) Level More Details (Less Abstract)

1-28 Design Domains & Levels of Abstraction n Designs can be expressed / viewed in one of three possible domains Behavioral Domain (Behavioral View) Structural/Component Domain (Structural View) Physical Domain (Physical View) n A design modeled in a given domain can be represented at several levels of abstraction (Details) n Designs can be expressed / viewed in one of three possible domains Behavioral Domain (Behavioral View) Structural/Component Domain (Structural View) Physical Domain (Physical View) n A design modeled in a given domain can be represented at several levels of abstraction (Details)

1-29 Three Abstraction Levels of Circuit Representation n Architectural level: Operations implemented by resources. n Logic level: Logic functions implemented by gates. n Geometrical level: devices are geometrical objects. n Architectural level: Operations implemented by resources. n Logic level: Logic functions implemented by gates. n Geometrical level: devices are geometrical objects.

1-30 Modeling Views n Behavioral view: Abstract function. n Structural view: An interconnection of parts. n Physical view: Physical objects with size and positions. n Behavioral view: Abstract function. n Structural view: An interconnection of parts. n Physical view: Physical objects with size and positions.

1-31 Levels of Abstractions & Corresponding Views

1-32 Gajski and Kuhn's Y Chart

1-33 Design Domains & Levels of Abstraction

1-34 Digital System Design n Realization of a specification subject to the optimization of Area (Chip, PCB) Lower manufacturing cost Increase manufacturing yield Reduce packaging cost Performance Propagation delay (combinational circuits) Cycle time and latency (sequential circuits) Throughput (pipelined circuits) Power dissipation Testability Earlier detection of manufacturing defects lowers overall cost Design time (time-to-market) Cost reduction Be competitive n Realization of a specification subject to the optimization of Area (Chip, PCB) Lower manufacturing cost Increase manufacturing yield Reduce packaging cost Performance Propagation delay (combinational circuits) Cycle time and latency (sequential circuits) Throughput (pipelined circuits) Power dissipation Testability Earlier detection of manufacturing defects lowers overall cost Design time (time-to-market) Cost reduction Be competitive

1-35 Design vs. Synthesis n Design: A Sequence of synthesis steps down to a level of abstraction which is manufacturable n Synthesis: Process of transforming H/W from one level of abstraction to a lower one n Synthesis may occur at many different levels of abstraction Behavioral or High-level synthesis Logic synthesis Layout synthesis n Design: A Sequence of synthesis steps down to a level of abstraction which is manufacturable n Synthesis: Process of transforming H/W from one level of abstraction to a lower one n Synthesis may occur at many different levels of abstraction Behavioral or High-level synthesis Logic synthesis Layout synthesis

1-36 Digital System Design Cycle Design Idea  System Specification Behavioral (Functional) Design Logic Design Circuit Design Physical Design Fabrication & Packaging Architecture Design Pseudo Code, Flow Charts Bus & Register Structure Netlist (Gate & Wire Lists) Transistor List VLSI / PCB Layout

1-37 Synthesis Process

1-38 Circuit Synthesis n Architectural-level synthesis: Determine the macroscopic structure: Interconnection of major building blocks. n Logic-level synthesis: Determine the microscopic structure: Interconnection of logic gates. n Geometrical-level synthesis: (Physical design): placement and routing Determine positions and connections. n Architectural-level synthesis: Determine the macroscopic structure: Interconnection of major building blocks. n Logic-level synthesis: Determine the microscopic structure: Interconnection of logic gates. n Geometrical-level synthesis: (Physical design): placement and routing Determine positions and connections.

1-39 Architecture Design

1-40 Behavioral or High-Level Synthesis n The automatic generation of data path and control unit is known as high-level synthesis. Tasks involved in HLS are scheduling and allocation Scheduling distributes the execution of operations throughout time steps Allocation assigns hardware to operations and values. Allocation of hardware cells include functional unit allocation, register allocation and bus allocation. Allocation determines the interconnections required. n The automatic generation of data path and control unit is known as high-level synthesis. Tasks involved in HLS are scheduling and allocation Scheduling distributes the execution of operations throughout time steps Allocation assigns hardware to operations and values. Allocation of hardware cells include functional unit allocation, register allocation and bus allocation. Allocation determines the interconnections required.

1-41 Behavioral Description and its Control Data Flow Graph (CDFG) X = W + ( S * T ) Y = ( S * T ) + ( U * V ) * + * + W S T U V X Y (a) CDFG (b) * * + + W S T U V X Y (c) Scheduled CDFG

1-42 Resulting Architecture Design MUX XYW + Z * SUTV Bus 1Data Path

1-43 Design Space and Evaluation Space n All feasible implementations of a circuit define its design space. n Each design point has values for objective evaluation functions e.g. area n The multidimensional space spanned by the different objectives is called design evaluation space n All feasible implementations of a circuit define its design space. n Each design point has values for objective evaluation functions e.g. area n The multidimensional space spanned by the different objectives is called design evaluation space

1-44 Optimization Trade-Off in Combinational Circuits

1-45 Optimization Trade-Off in Sequential Circuits

1-46 Combinational Circuit Design Space Example n Implement f = p q r s with 2-input or 3-input AND gates. n Area and delay proportional to number of inputs. n Implement f = p q r s with 2-input or 3-input AND gates. n Area and delay proportional to number of inputs.

1-47 Architectural Design Space Example … n A CDFG and 3 Solutions CSsREGsFUs ( c )443 ( d )533 ( e )542 ( a ) ( b )

1-48 …Architectural Design Space Example ( c ) ( d ) ( e ) 12345

1-49 Design Automation & CAD Tools n Design Entry (Description) Tools Schematic Capture Hardware Description Language (HDL) n Simulation (Design Verification) Tools Simulators (Logic level, Transistor Level, High Level Language “HLL”) n Synthesis Tools n Formal Verification Tools n Test Vector Generation Tools n Design Entry (Description) Tools Schematic Capture Hardware Description Language (HDL) n Simulation (Design Verification) Tools Simulators (Logic level, Transistor Level, High Level Language “HLL”) n Synthesis Tools n Formal Verification Tools n Test Vector Generation Tools