An Introduction to Synopsys Design Automation Jeremy Lee November 7, 2007.

Slides:



Advertisements
Similar presentations
Z. Stamenković 1, M. Giles 2, and F. Russi 2 1 IHP GmbH, Frankfurt (Oder), GERMANY 2 Synopsys Inc., Mountain View, CA, USA 13th IEEE European Test Symposium,
Advertisements

Digital Systems Verification Lecture 13 Alessandra Nardi.
TOPIC : SYNTHESIS DESIGN FLOW Module 4.3 Verilog Synthesis.
Logic Synthesis – 3 Optimization Ahmed Hemani Sources: Synopsys Documentation.
Timing sign-off with PrimeTime
EDP Trends in AMS Design Methodology or Analog Design Flow, an Oxymoron ? Gary Smith Chief Analyst EDA Gartner Dataquest.
Anjali Supekar *, Mohita Batra *, Rakesh Gulati *, Shahabuddin Qureshi °, Hina Mushir #, Prashant Pandey #, Samant Paul °, Seema Jaiswal ° * Automation.
Ch.3 Overview of Standard Cell Design
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
High-Level Constructors and Estimators Majid Sarrafzadeh and Jason Cong Computer Science Department
ECAD Tool Flows These notes are taken from the book: It’s The Methodology, Stupid! by Pran Kurup, Taher Abbasi, Ricky Bedi, Publisher ByteK Designs,
CSE241 Formal Verification.1Cichy, UCSD ©2003 CSE241A VLSI Digital Circuits Winter 2003 Recitation 6: Formal Verification.
DCDL The Design Constraints Description Language An Emerging OVI Standard.
The Design Process Outline Goal Reading Design Domain Design Flow
Joe Gebis Computer Science Division University of California, Berkeley IRAM CAD Status and Plan.
9 th Sept, VLSI Design & Test seminar series, Fall 2009, Auburn University, Auburn, AL Low Power Implementation of ARM1176JZF-S by Manish Kulkarni.
Chapter 7 Design Implementation (II)
Logic Design Outline –Logic Design –Schematic Capture –Logic Simulation –Logic Synthesis –Technology Mapping –Logic Verification Goal –Understand logic.
King Fahd University of Petroleum and Minerals Computer Engineering Department COE 561 Digital Systems Design and Synthesis (Course Activity) Synthesis.
1 Application Specific Integrated Circuits. 2 What is an ASIC? An application-specific integrated circuit (ASIC) is an integrated circuit (IC) customized.
Foundation and XACTstepTM Software
From Concept to Silicon How an idea becomes a part of a new chip at ATI Richard Huddy ATI Research.
Timing control in verilog Module 3.1 Delays in Verilog.
Signal Integrity Methodology on 300 MHz SoC using ALF libraries and tools Wolfgang Roethig, Ramakrishna Nibhanupudi, Arun Balakrishnan, Gopal Dandu Steven.
Design Tools, Flows and Library Aspects during the FE-I4 Implementation on Silicon Vladimir Zivkovic National Institute for Subatomic Physics Amsterdam,
Robust Low Power VLSI R obust L ow P ower VLSI Memory Management Units for Instruction and Data Cache for OR1200 CPU Core Arijit Banerjee ASIC/SOC Class.
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
Kazi ECE 6811 ECE 681 VLSI Design Automation Khurram Kazi* Lecture 10 Thanks to Automation press THE button outcomes the Chip !!! Reality or Myth (*Mostly.
ON LINE TEST GENERATION AND ANALYSIS R. Šeinauskas Kaunas University of Technology LITHUANIA.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
CADENCE CONFIDENTIAL 1CADENCE DESIGN SYSTEMS, INC. Cadence Formal Verification 2003 Beijing International Microelectronics Symposium C. Michael Chang Vice.
ASIC Design Flow – An Overview Ing. Pullini Antonio
ELEC 5270/6270 Spring 2013 Low-Power Design of Electronic Circuits Tools for Power Analysis
HDL-Based Layout Synthesis Methodologies Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
Teaching VLSI Design Considering Future Industrial Requirements Matthias Hanke
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
King Fahd University of Petroleum and Minerals Computer Engineering Department COE 561 Digital Systems Design and Synthesis (Course Activity) Synthesis.
1 An Update on Verilog Ξ – Computer Architecture Lab 28/06/2005 Kypros Constantinides.
ASIC, Customer-Owned Tooling, and Processor Design Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths That Lead EDA Astray.
DFT Compiler Synopsys Customer Education Services
ECE 545 Project 2 Specification. Schedule of Projects (1) Project 1 RTL design for FPGAs (20 points) Due date: Tuesday, November 22, midnight (firm) Checkpoints:
Programmable Logic Training Course HDL Editor
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
1 - CPRE 583 (Reconfigurable Computing): VHDL to FPGA: A Tool Flow Overview Iowa State University (Ames) CPRE 583 Reconfigurable Computing Lecture 5: 9/7/2011.
04/06/031 ECE 551: Digital System Design & Synthesis Lecture Set 9 9.1: Constraints and Timing (In separate file) 9.2: Optimization - Part 1 9.3: Optimization.
CHAPTER 8 Developing Hard Macros The topics are: Overview Hard macro design issues Hard macro design process Physical design for hard macros Block integration.
ASIC to FPGA Conversion Flow. Conversion Feasibility Flow Chart Design Rules Checking Feasibility Report RTL CodeQuick Conversion ASIC Netlist Fault coverage.
Dec 1, 2003 Slide 1 Copyright, © Zenasis Technologies, Inc. Flex-Cell Optimization A Paradigm Shift in High-Performance Cell-Based Design A.
Tools - Design Manager - Chapter 6 slide 1 Version 1.5 FPGA Tools Training Class Design Manager.
Physical Synthesis Buffer Insertion, Gate Sizing, Wire Sizing,
IMPLEMENTATION OF MIPS 64 WITH VERILOG HARDWARE DESIGN LANGUAGE BY PRAMOD MENON CET520 S’03.
Update on the Design Implementation Methodology for the 130nm process Microelecronics User Group meeting TWEPP 2010 – Aachen Sandro Bonacini CERN PH/ESE.
Equivalence checking Prof Shobha Vasudevan ECE 598SV.
Ready to Use Programmable Logic Design Solutions.
ASIC/FPGA design flow. Design Flow Detailed Design Detailed Design Ideas Design Ideas Device Programming Device Programming Timing Simulation Timing Simulation.
© 2005 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU CORE Generator System.
Speaker: Nansen Huang VLSI Design and Test Seminar (ELEC ) March 9, 2016 Simulation-Based Equivalence Checking.
Altera Technical Solutions Seminar Schedule OpeningIntroduction FLEX ® 10KE Devices APEX ™ 20K & Quartus ™ Overview Design Integration EDA Integration.
ASIC Design Methodology
SoCKs Flow: Here, There, and Back Again
Synopsys PrimeTime.
Testability in EOCHL (and beyond…)
Cadence Low-Power Solution
EE141 Design Styles and Methodologies
Timing Analysis 11/21/2018.
FPGA Tools Course Answers
THE ECE 554 XILINX DESIGN PROCESS
Measuring the Gap between FPGAs and ASICs
THE ECE 554 XILINX DESIGN PROCESS
Presentation transcript:

An Introduction to Synopsys Design Automation Jeremy Lee November 7, 2007

Introduction  Why the need CAD tools?  Time to market decreasing (< a year)  Designs are becoming more complex (System-on-a-chip)  Synopsys is one of many EDA vendors vying for designer mind- share

Introduction (cont.)  Why do we (in academia) need CAD tools?  Keep our research relevant to industry  Know what needs improving (academia on cutting edge)

What will be covered?  Overview of tools What’s available? What do the tools do?  Example Flow  Will not be a step-by-step how-to.

Getting Synopsys Started at UConn  Synopsys Linux binaries are available on the ECS fileserver: /apps/ecs-apps/software/synopsys  Releases: Y-2006, Z-2007  bashrc and cshrc files located at /apps/ecs-apps/software/synopsys/etc  Synopsys directory can be mounted directly using NFS files:/ApplicationDirectories/nfs/ecs- apps/software/synopsys  Tools are location dependent Must be in same directory structure as on server  Gui or console modes

Synopsys Galaxy Platform at UConn (Y-2006) Design Compiler JupiterXT Astro Physical Compiler Design Automation PrimeTime SI/PX/VX PrimePower Star-RCXT Formality VCS Nanosim HSpice Sign-off / Validation / Verification DFT Compiler DFT MAX TetraMAX Design for Test

Design Automation  Design Compiler RTL to gate-level synthesis  Physical Compiler Layout-aware RTL to gate-level synthesis  JupiterXT Floorplanning tool  Astro Placement and routing

Design Compiler (DC)  Synthesizes gate level netlists from RTL-level  Optimizes netlists Removes unused or redundant logic Tie-off nets that are constant  Requires standard cell library timing characterization  Attempts to meet timing and area constraints (SDC File)

Libraries  Supposed to be provided by fab  Gates in standard cell library  Operating condition corners Gate delays  Wire load models Compensates delay for fan-out

SDC File  Synopsys Design Constraints (SDC)  Set up clock period  Specifies timing and area requirements that are to be met during mapping and optimization

SDC Constraints Input Delay Output Delay Driving Cell Load

DC Flow Read Netlist Map to Link Library (if gate-level) Apply Constraints Netlist Write-out Optimized Netlist SDC Cons. Map to Target Library and Optimize Read Libraries

JupiterXT  Floorplanning Power/Ground Network Planning Pin/Power pad placement Blockages Memory placement  Performed through GUI or command line

Astro  Placement and routing tool  Requires physical information of standard cell library (provided by fab) Graphic Data System (GDSII) Library Exchange Format (LEF)  Physical design in multiple formats GSDII Design Exchange Format (DEF)

Astro Flow Import Netlist and Constraints Netlist Open Libraries Read/Setup Floorplan Run Placement Routing Physical Design SDC Cons.

Synopsys Galaxy Platform at UConn (Y-2006) Design Compiler JupiterXT Astro Physical Compiler Design Automation PrimeTime SI/PX/VX PrimePower Star-RCXT Formality VCS Nanosim HSpice Sign-off / Validation / Verification DFT Compiler DFT MAX TetraMAX Design for Test

Sign-off/Validation/Verification  Formality Verify netlist  PrimeTime SI/PX/VX Timing validation (signal-integrity, power-aware, variation-aware)  PrimePower Power validation

Sign-off/Validation/Verification (cont.)  Star-RCXT Extraction tool  VCS HDL simulator  NanoSim HDL simulator w/ parasitics  HSpice Spice simulator

PrimeTime SI/PX/VX (PT-SI/PX/VX)  Calculates and reports path delays  Verify operating frequency after logic synthesis  Can be back-annotated with extracted parasitics for post-layout verification

PT-SI/PX/VX Flow Read Netlist Map to Link Library (if gate-level) Apply Constraints Netlist SDC Cons. Read Libraries Back-annotate design Report Timing results Meet spec? ECO Next phase Yes No Parasitics Process Variation *New*

Putting the Pieces Together RTL Netlist SDC Cons. Logic Synthesis Gate Netlist Logic Libraries Sign-off Fails Passes Physical Synthesis Physical Libraries Layout Extraction Sign-off Fails Passes To Fab

Synopsys Galaxy Platform at UConn (Y-2006) Design Compiler JupiterXT Astro Physical Compiler Design Automation PrimeTime SI/PX/VX PrimePower Star-RCXT Formality VCS Nanosim HSpice Sign-off / Validation / Verification DFT Compiler DFT MAX TetraMAX Design for Test

 DFT Compiler Scan chain insertion  DFT Max Test compression tool  TetraMax Automatic test pattern generation (ATPG)

Additional Reading  Synopsys Website  Documentation Synopsys OnLine Documenation (SOLD) Available on any of the UConn ECS Linux servers  Electronic Synopsys Users Group (ESNUG)