Emerging Standards in the Electronic Design Automation (EDA) Industry Phil Fisher (SEMATECH) Don Cottrell (Si2) UC Berkeley October 20, 1999.

Slides:



Advertisements
Similar presentations
RF and AMS Technologies for Wireless Communications Working Group International Technology Roadmap for Semiconductors Radio Frequency and Analog/Mixed-Signal.
Advertisements

Assembly and Packaging TWG
ELEC 301 Spring 2009 VOLKAN KURSUN ELEC 301 Introduction Volkan Kursun.
A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004.
Design and Implementation of VLSI Systems (EN0160) Sherief Reda Division of Engineering, Brown University Spring 2007.
CHDStd and Related Standards Efforts. b Technology Trend The Physics Decreasing Gate Length  50 m transistors by 2000 Increased file & file sizes Increased.
Next Generation Integrated Circuits 300 mm wafers Copper metallization Low-K dielectric under interconnect lines High-K dielectric under gate Silicon-on-insulator.
LabVIEW Design of Digital Integrated Circuits FPGA IC Implantation.
ELEN468 Lecture 11 ELEN468 Advanced Logic Design Lecture 1Introduction.
1 Lecture 5: IC Fabrication The Transistor Revolution First transistor Bell Labs, 1948 © Rabaey: Digital Integrated Circuits 2nd.
EE141 © Digital Integrated Circuits 2nd Introduction 1 The First Computer.
Budapest University of Technology and Economics Department of Electron Devices Integrated circuits, IC design Overview, main features,
EFFIC (Equipment for Free Form Interconnection of CIGS) Jan Wemmenhove, PM Smit Ovens Sunday 2014 event Nov. 19 th, 2014.
Deloitte Consulting SCOOPS Session September 2003.
Design and Implementation of VLSI Systems (EN1600) lecture01 Sherief Reda Division of Engineering, Brown University Spring 2008 [sources: Weste/Addison.
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs RCAT approach 1 MonolithIC 3D Inc., Patents Pending.
EE141 © Digital Integrated Circuits 2nd Introduction 1 EE4271 VLSI Design Dr. Shiyan Hu Office: EERC 518 Adapted and modified from Digital.
Chap. 1 Overview of Digital Design with Verilog. 2 Overview of Digital Design with Verilog HDL Evolution of computer aided digital circuit design Emergence.
Microelectronic Devices and Circuits Mozafar Bag-Mohammadi Ilam University.
Are classical design flows suitable below 0.18  ? ISPD 2001 NEC Electronics Inc. WR0999.ppt-1 Wolfgang Roethig Senior Engineering Manager EDA R&D Group.
Keeping Up with Moore’s Law Who the heck is this Moore guy anyway? Gordon E. Moore was the cofounder of Intel Corporation Gordon E. Moore was the cofounder.
CSE477 L01 Introduction.1Irwin&Vijay, PSU, 2002 ECE484 VLSI Digital Circuits Fall 2014 Lecture 01: Introduction Adapted from slides provided by Mary Jane.
CMAA 2010 Owners Forum Joe P. Gionfriddo May 3, 2010 Corporate Engineering Global Construction Manager The Procter & Gamble Company.
VLSIRESEARCH.comVLSIRESEARCH.com WeSRCH.com CHIPHISTORY.org CHIPHISTORY.org Copyright © 2008 VLSI Research Inc. All rights reserved. Reprinted with permission.
VLSI & ECAD LAB Introduction.
CMP 4202: VLSI System Design Lecturer: Geofrey Bakkabulindi
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
Functional Team
PRESENTED BY, SARANYA , GAYATHRI, II ECE-B.
OrCAD XML Tools b b e-studio xml syntax and semantic checker xml visualization xml writer for Capture v7.20 or v9.10 xml reader for Capture v7.20 or v9.10.
Standards Certification Education & Training Publishing Conferences & Exhibits ISA Publications & Standards The International Society of Automation.
The GSA MS/RF SPICE Model Checklist Thomas Moerth Manager Design Support, austriamicrosystems AG April 4th 2008.
Scalable Systems Software for Terascale Computer Centers Coordinator: Al Geist Participating Organizations ORNL ANL LBNL.
Present – Past -- Future
Early electronic device the vacuum tube
EE586 VLSI Design Partha Pande School of EECS Washington State University
45nm Processors & Beyond A Presentation On By Ajaypal Singh Dhillon Kurukshetra university.
Transistor Counts 1,000, ,000 10,000 1, i386 i486 Pentium ® Pentium ® Pro K 1 Billion Transistors.
EE141 © Digital Integrated Circuits 2nd Introduction 1 Principle of CMOS VLSI Design Introduction Adapted from Digital Integrated, Copyright 2003 Prentice.
Trends in IC technology and design J. Christiansen CERN - EP/MIC
Nanometer Technology © Copyright 2002, Fairview Ridge Partners, LLC All Rights Reserved Nanometer Technology AKI Expert Session.
Overview of VLSI 魏凱城 彰化師範大學資工系. VLSI  Very-Large-Scale Integration Today’s complex VLSI chips  The number of transistors has exceeded 120 million 
EE141 © Digital Integrated Circuits 2nd Introduction 1 EE5900 Advanced Algorithms for Robust VLSI CAD Dr. Shiyan Hu Office: EERC 731 Adapted.
Introduction to Silicon Processing Dr Vinod V. Thomas SMIEEE Ref: Section 2.2 ASICs : MJS Smith.
DASC Overview October, 2008 Victor Berman, Chair (Improv Systems) Stan Krolikoski, Vice Chair (Cadence) Kathy Werner, Secretary (Freescale) Karen Bartleson,
Global Thin-film Semiconductor Deposition Market WEBSITE Single User License: US$ 2500 No of Pages: 53 Corporate User.
HEM OVERVIEW. Honeywell Confidential - © 2015 by Honeywell International Inc. All rights reserved. Honeywell Aerospace Automation and Control Solutions.
R. Kluit Electronics Department Nikhef, Amsterdam. Integrated Circuit Design.
Transistors to Gates © 2011 Project Lead The Way, Inc.Magic of Electrons.
ICT 25 Generic micro- and nano-electronic technologies Marc Boukerche DG CONNECT, A.4 Components.
EE141 © Digital Integrated Circuits 2nd Introduction 1 Digital Integrated Circuits A Design Perspective Introduction Jan M. Rabaey Anantha Chandrakasan.
EE141 © Digital Integrated Circuits 2nd Introduction 1 EE4271 VLSI Design Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital.
© 2016 Global Market Insights, Inc. USA. All Rights Reserved Global High-k and ALD/CVD Metal Precursor Market to grow at 7.8% CAGR from.
ECE484 VLSI Digital Circuits Fall 2016 Lecture 01: Introduction
The Interconnect Delay Bottleneck.
© 2016 Global Market Insights, Inc. USA. All Rights Reserved Fuel Cell Market size worth $25.5bn by 2024 Electronic Design Automation.
ITRS Roadmap Design Process Open Discussion EDP 2001
Overview of VLSI 魏凱城 彰化師範大學資工系.
Transistors on lead microprocessors double every 2 years Moore’s Law in Microprocessors Transistors on lead microprocessors double every 2 years.
Charles V. Trappey, National Chiao Tung University
Deloitte Consulting LLP SCOOPS Session
OpenAccess Coalition: Goals and Status
Global Probe Card Market Report : Trends, Forecast and Competitive Analysis 1.
Semiconductor Industry:
Introduction EE4271 VLSI Design Professor Shiyan Hu Office: EERC 518
Intranets & Extranets Companies that do not have the resources to invest in enterprise applications can still achieve some measure of information integration.
Physical Implementation
© 2016 Global Market Insights, Inc. USA. All Rights Reserved Lithography Equipment Market: Key Trends and Opportunities.
© 2016 Global Market Insights, Inc. USA. All Rights Reserved Semiconductor Manufacturing Equipment Market Size will witness a rapid.
© 2016 Global Market Insights, Inc. USA. All Rights Reserved Global Electronic Design Automation Market to hit $14 Bn by 2024: Global.
Presentation transcript:

Emerging Standards in the Electronic Design Automation (EDA) Industry Phil Fisher (SEMATECH) Don Cottrell (Si2) UC Berkeley October 20, 1999

10/20/99 Emerging Standards - at UCB - 2 SEMATECH/International SEMATECH 14 global semiconductor companies: AMDIntel ConexantLucent Technologies Compaq (Digital)Motorola Hewlett-PackardPhilips HyundaiSTMicroelectronics IBMTexas Instruments Infineon TechnologiesTSMC Mission: The members of International SEMATECH will gain a manufacturing advantage through cooperative work on semiconductor manufacturing technology Technical programs cover a broad range of advanced and tactical projects, focusing on wafer processing

10/20/99 Emerging Standards - at UCB - 3 International SEMATECH 14 Firms Cooperating

10/20/99 Emerging Standards - at UCB % per Year Improvement Time Logarithmic $ per Function Wafer Size Yield Improvement Other Productivity Equipment, etc. Feature Size ~12%-14% ~4% ~2% ~7%-10% ~12% ~8% ~5% ~3% Manufacturing Cost Present ? Keeping Productivity on Track

10/20/99 Emerging Standards - at UCB - 5 We Face Several Non-Incremental Technology Changes Post-optical lithography Copper Low-k dielectrics New gate stacks with deposited dielectrics and metal electrodes 300 mm Plus all the incremental changes — increasing scale of integration, etc. Time Manufacturing Cost Logarithmic $ per Function % - 30% per Year Improvement Two decades of incremental change One-decade of non-incremental change

10/20/99 Emerging Standards - at UCB - 6 Design Productivity Crisis Year Technology Chip Complexity Frequency Staff Staff Cost* nm 180 nm 130 nm 13 M Tr. 20 M Tr. 32 M Tr. 130 M Tr M 120 M 160 M 360 M $150K / Staff Yr. (In 1997 Dollars) Yr. Design Potential Design Complexity and Designer Productivity ,000 10, ,000 1,000,000 10,000, ,000 10, ,000 1,000,000 10,000, ,000,000 Logic Tr./Chip Tr./S.M. x x x x x x x 21%/Yr. compound Productivity growth rate x 58%/Yr. compounded Complexity growth rate 10,000 1, Logic Transistor per Chip (M) ,000 10, ,000 Productivity (K) Trans./Staff - Mo. Equivalent Added Complexity

10/20/99 Emerging Standards - at UCB - 7 Interconnect Complexity

10/20/99 Emerging Standards - at UCB - 8 CHDStd Standard Chip Hierarchical Design System technical date (CHDStd) Standard Why? To help integrate tools into the IC design flow by using a common data model and APIs –For every $1 invested in EDA tools, an additional 2 to $5 are spent on integration into the design flow –No EDA vendor or using company can supply all the tools needed today –Promote rapid integration of new tools from industry and university research –EDA tool supplier integration and maintenance costs

10/20/99 Emerging Standards - at UCB - 9 CHDStd Programs in SEMATECH Working with Leading EDA Suppliers –Interface EDA Tools to CHDStd Contracts with IBM, Cadence, OEA, and Ultima –User Support of CHDStd IBM contract for Implementation Support of data model, API server, and data repository for: –Members, selected EDA suppliers, and Universities Si2 contract for public web site, Test Lab, and standards accreditation in IEEE & IEC Comprehensive Data Types –Libraries, interconnect modeling, ECO, and broaden to behavioral view