CSE140L – Lab4 Overall picture of Lab4 Tutorial on Bus & Memory Tutorial on Truth table.

Slides:



Advertisements
Similar presentations
Xilinx 6.3 Tutorial Integrated Software Environment (ISE) Set up basic environment Select Gates or Modules to Be simulated (Insert Program Code) Run Waveform.
Advertisements

Digital Logic with VHDL EE 230 Digital Systems Fall 2006 (10/17/2006)
1 Lecture 13 VHDL 3/16/09. 2 VHDL VHDL is a hardware description language. The behavior of a digital system can be described (specified) by writing a.
ECE 272 Xilinx Tutorial. Workshop Goals Learn how to use Xilinx to: Draw a schematic Create a symbol Generate a testbench Simulate your circuit.
Lecture 11 Xilinx FPGA Memories
ECE – 329 Fall 2007 Lab Manual for Xilinx Example: Design and simulation of a Half Adder Instructor: Dr.Botros.
How to use the VHDL and schematic design entry tools.
DE1 FPGA board and Quartus
Development System using Altium Designer Supervisor : Ina Rivkin Performed by: Fared Ghanayim Jihad Zahdeh Technion – Israel Institute of Technology Department.
Digilent Spartan 3 Board Discussion D3.3
Lab 3 & 4 Discussion EE414/514 VHDL Design September 25.
Lab Lecture 5 Aahlad. Process Statement-A Review…. Syntax process (sensitivity_list) declarations; begin sequential statement;... end process;
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
Binary-to-BCD Converter
Random-Access Memory Distributed and Block RAM Discussion D10.3 Example 41.
ALTERA UP2 Tutorial 1: The 15 Minute Design. Figure 1.1 The Altera UP 1 CPLD development board. ALTERA UP2 Tutorial 1: The 15 Minute Design.
4-bit Shift Register. 2-bit Register Serial-in-serial-out Shift Register.
 Seattle Pacific University EE Logic System DesignCADNumbers-1 Arithmetic and CAD Tools CAD tools work great with arithmetic functions Adding,subtracting,multiplying,
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
Introduction to Design Tools COE Review: Tools, functions, design flow Four tools we will use in this course – HDL Designer Suite FPGA Advantage.
Designing with FPGAs ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Thomson Engineering.
George Mason University FPGA Memories ECE 448 Lecture 13.
System Arch 2008 (Fire Tom Wada) /10/9 Field Programmable Gate Array.
Figure 5.1 Conversion from decimal to binary. Table 5.1 Numbers in different systems.
1 Introduction to Xilinx ISL8.1i Schematic Capture and VHDL 1.
Chapter 14 Introduction to Microprocessors. 2 Microcomputer A self-contained computer system that consists of CPU (central processing unit), memory (RAM.
VHDL Introduction. V- VHSIC Very High Speed Integrated Circuit H- Hardware D- Description L- Language.
Active-HDL Interfaces Building VHPI Applications C Compilation Course 9.
ENG2410 Digital Design LAB #8 LAB #8 Data Path Design.
ENG241 Digital Design Week #8 Registers and Counters.
EE3A1 Computer Hardware and Digital Design Lecture 5 Testbenches and Memories in VHDL.
Programmable Logic Training Course Project Manager.
Programmable Logic Training Course HDL Editor
CPE 626 Advanced VLSI Design Lecture 6: VHDL Synthesis Aleksandar Milenkovic
Reaction Timer Project
Introduction to Design Tools COE Example design: ALU Recall that the ALUOp is 4 bits – High-order two bits used to determine operation class (ALUOp(3:2))
2’s Complement 4-Bit Saturator Discussion D2.8 Lab 2.
1 MIPS VHDL Overview Reference: VHDL Tutorial on CDROM, or Accolade Reference Guide Notes: / / pdf / MIPS_vhdl_notes.pdf.
Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
VHDL Discussion Subprograms IAY 0600 Digital Systems Design Alexander Sudnitson Tallinn University of Technology 1.
CDA 4253 FGPA System Design Xilinx FPGA Memories
11 EENG 1920 Introduction to VHDL. 22 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
PARBIT Tool 1 PARBIT Partial Bitfile Configuration Tool Edson L. Horta Washington University, Applied Research Lab August 15, 2001.
July 2, 2001Systems Architecture I1 Systems Architecture II (CS 282) Lab 3: State Elements, Registers, and Memory * Jeremy R. Johnson Monday July 2, 2001.
George Mason University Behavioral Modeling of Sequential-Circuit Building Blocks ECE 545 Lecture 8.
Lecture 11 Xilinx FPGA Memories Part 2
ECE 448 – FPGA and ASIC Design with VHDL George Mason University ECE 448 Lab 1 Implementing Combinational Logic in VHDL.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
Introduction to Vivado
Registers and Counters
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
VHDL 1. ver.7a VHDL1 INTRODUCTION TO VHDL (VERY-HIGH-SPEED-INTEGRATED-CIRCUITS HARDWARE DESCRIPTION LANGUAGE) KH WONG (w2 begins) (Some pictures are.
Binary-to-BCD Converter
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
ECE 545 Lecture 17 RAM.
VHDL Discussion Subprograms
Behavioral Modeling of Sequential-Circuit Building Blocks
Founded in Silicon Valley in 1984
ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic ECE 448 – FPGA and ASIC Design with VHDL.
VHDL Discussion Subprograms
Data Flow Description of Combinational-Circuit Building Blocks
Data Flow Description of Combinational-Circuit Building Blocks
Sequntial-Circuit Building Blocks
(Sequential-Circuit Building Blocks)
Presentation transcript:

CSE140L – Lab4 Overall picture of Lab4 Tutorial on Bus & Memory Tutorial on Truth table

CSE140L – Lab4 A simple computer system with a minimal instruction set. Load/store data Addition Shift Compare Mask

CSE140L – Lab4 System architecture Task List You Calculator Marker Pencil & Paper Memory (instructions) Program Counter Register Profile Datapath Control Logic CLK RST

CSE140L – Lab4 Function of each module Program counter: mark the current instruction Memory: hold instructions Datapath: compute results Registers: hold data Control logic: compile instructions to actions.

CSE140L – Lab4 Overall picture of Lab4 A system design including register profile, datapath, memory, program counter and control logic. cse140L/lab/lab4/lab4.htm cse140L/lab/lab4/lab4.htm

CSE140L – Lab4 Tutorial on Bus & Memory Build a 16x4bit memory block Memory Elements: ( RAM (Writable) ROM (Read-only) ROM16x1

CSE140L – Lab4 ROM16x1 A0A1A2A3 O INIT The data output (O) reflects the bit selected by the 4-bit address (A3 – A0). The ROM is initialized to a known value during configuration with the INIT=value parameter.

CSE140L – Lab4 16x4 memory block addr(3:0) data(3:0)

CSE140L – Lab4 16x4 memory block initialize addr INIT data F data E4FF data DC0 data B50

CSE140L – Lab4 Tutorial Create a project memblk Add a schematic diagram memblk to the project Create I/O markers Menu  Tools  Create I/O Markers

CSE140L – Lab4 You will see two I/O buses on the canvas Place 4 ROM16x1 modules Extend two I/O buses before and after the ROM modules by using “ add wire ” button (You will see thicker wires)

CSE140L – Lab4 Add bus taps by using “ Add Bus Tap ” button. You can change the direction of by selecting the orientation in the options window.

CSE140L – Lab4 Connect the taps to module pins by wire

CSE140L – Lab4 Click on “ Add Net Name ” Then type the net name in the options window. Now you will see the name appear after the cursor. Click on the wire you want to name.

CSE140L – Lab4 Name all the nets Double click on a ROM module, the property window will pop up. Change the INIT value and make it visible.

CSE140L – Lab4 Click OK. You will see the initial value appears. Change the initial values for other ROM modules and save the diagram.

CSE140L – Lab4 Create a symbol for the memory block.

CSE140L – Lab4 Tutorial on VHDL Truth Table A2A1A0D1D

CSE140L – Lab4 Tutorial Create a project truthtbl Add a VHDL Module truthtbl to the project

CSE140L – Lab4 You will see a template library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity truthtbl is end truthtbl; architecture Behavioral of truthtbl is begin end Behavioral;

CSE140L – Lab4 Add the following port declaration into the entity declaration. Add the following code to the architecture part. entity truthtbl is PORT (A :IN STD_LOGIC_VECTOR(2 DOWNTO 0); D : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ); end truthtbl; architecture Behavioral of truthtbl is begin process (A) begin if (A(2 downto 0)="000") then D(1 downto 0) <= "01"; end if;

CSE140L – Lab4 if (A(2 downto 0)="001") then D(1 downto 0) <= "11"; end if; if (A(2 downto 0)="010") then D(1 downto 0) <= "10"; end if; if (A(2 downto 0)="011") then D(1 downto 0) <= "10"; end if; if (A(2 downto 0)="100") then D(1 downto 0) <= "10"; end if; if (A(2 downto 0)="101") then D(1 downto 0) <= "01"; end if; if (A(2 downto 0)="110") then D(1 downto 0) <= "11"; end if;

CSE140L – Lab4 if (A(2 downto 0)="111") then D(1 downto 0) <= "00"; end if; end process; end Behavioral; Save the VHDL file. Click on the VHDL file in the Sources window

CSE140L – Lab4 Under the Design Utilities category in Process View, double click on Create Schematic Symbol Now the truth table has been implemented and can be used in top level schematic diagram.