Architectural Power Management for High Leakage Technologies Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849 3/15/2011.

Slides:



Advertisements
Similar presentations
Subthreshold SRAM Designs for Cryptography Security Computations Adnan Gutub The Second International Conference on Software Engineering and Computer Systems.
Advertisements

Reducing Leakage Power in Peripheral Circuits of L2 Caches Houman Homayoun and Alex Veidenbaum Dept. of Computer Science, UC Irvine {hhomayou,
Keeping Hot Chips Cool Ruchir Puri, Leon Stok, Subhrajit Bhattacharya IBM T.J. Watson Research Center Yorktown Heights, NY Circuits R-US.
Power Reduction Techniques For Microprocessor Systems
Minimum Energy CMOS Design with Dual Subthrehold Supply and Multiple Logic-Level Gates Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University.
5/9/2015 A 32-bit ALU with Sleep Mode for Leakage Power Reduction Manish Kulkarni Department of Electrical and Computer Engineering Auburn University,
Dynamic SCAN Clock control In BIST Circuits
Dynamic Scan Clock Control In BIST Circuits Priyadharshini Shanmugasundaram Vishwani D. Agrawal
Polynomial-Time Algorithms for Designing Dual-Voltage Energy Efficient Circuits Master’s Thesis Defense Mridula Allani Advisor : Dr. Vishwani D. Agrawal.
Energy Source Lifetime Optimization for a Digital System through Power Management Advisor: Dr. Vishwani Agrawal Committee: Dr. Adit Singh and Dr. Victor.
Architectural Power Management for Battery Lifetime Optimization in Portable Systems Department of Electrical and Computer Engineering Auburn University,
Energy Source Lifetime Optimization for a Digital System through Power Management Department of Electrical and Computer Engineering Auburn University,
Externally Tested Scan Circuit with Built-In Activity Monitor and Adaptive Test Clock Priyadharshini Shanmugasundaram Vishwani D. Agrawal.
10/27/05ELEC / Lecture 161 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
11/01/05ELEC / Lecture 171 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
11/03/05ELEC / Lecture 181 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Chia-Yen Hsieh Laboratory for Reliable Computing Microarchitecture-Level Power Management Iyer, A. Marculescu, D., Member, IEEE IEEE Transaction on VLSI.
Spring 07, Feb 20 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Reducing Power through Multicore Parallelism Vishwani.
A Tutorial on Battery Simulation - Matching Power Source to Electronic System Manish Kulkarni and Vishwani D. Agrawal Auburn University Auburn, AL 36849,
8/19/04ELEC / ELEC / Advanced Topics in Electrical Engineering Designing VLSI for Low-Power and Self-Test Fall 2004 Vishwani.
Priyadharshini Shanmugasundaram Vishwani D. Agrawal DYNAMIC SCAN CLOCK CONTROL FOR TEST TIME REDUCTION MAINTAINING.
Spring 07, Feb 27 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Power Consumption in a Memory Vishwani D. Agrawal.
8/18/05ELEC / Lecture 11 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Fall 2006, Nov. 28 ELEC / Lecture 11 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Power Analysis: High-Level.
10/13/05ELEC / Lecture 131 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 14 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Power Aware Microprocessors Vishwani.
Instruction Set Architecture (ISA) for Low Power Hillary Grimes III Department of Electrical and Computer Engineering Auburn University.
Techniques for Efficient Processing in Runahead Execution Engines Onur Mutlu Hyesoon Kim Yale N. Patt.
Computer ArchitectureFall 2007 © October 31, CS-447– Computer Architecture M,W 10-11:20am Lecture 17 Review.
Spring 07, Feb 22 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Power Aware Microprocessors Vishwani D. Agrawal.
Lecture 7: Power.
Fall 2006: Dec. 5 ELEC / Lecture 13 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Adiabatic Logic Vishwani.
Low Power Design of Integrated Systems Assoc. Prof. Dimitrios Soudris
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
6.893: Advanced VLSI Computer Architecture, September 28, 2000, Lecture 4, Slide 1. © Krste Asanovic Krste Asanovic
Managing Performance and Efficiency of a Processor Advisor: Dr. Vishwani Agrawal Committee: Dr. Adit Singh and Dr. Victor Nelson Department of Electrical.
Low Power Techniques in Processor Design
Power Reduction for FPGA using Multiple Vdd/Vth
Copyright Agrawal, 2011ELEC5270/6270 Spr 15, Lecture 71 ELEC 5270/6270 Spring 2015 Low-Power Design of Electronic Circuits Energy Source Design Vishwani.
Dept. of Computer Science, UC Irvine
An Efficient Algorithm for Dual-Voltage Design Without Need for Level-Conversion SSST 2012 Mridula Allani Intel Corporation, Austin, TX (Formerly.
Jia Yao and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University Auburn, AL 36830, USA Dual-Threshold Design of Sub-Threshold.
Memory/Storage Architecture Lab Computer Architecture Pipelining Basics.
Implementation of a Simple 8-bit Microprocessor with Reversible Energy Recovery Logic Seokkee Kim and Soo-Ik Chae System Design Group School of Electrical.
1 Estimating the Worst-Case Energy Consumption of Embedded Software Ramkumar Jayaseelan Tulika Mitra Xianfeng Li School of Computing National University.
Dec 3, 2008Sheth: MS Thesis1 A Hardware-Software Processor Architecture Using Pipeline Stalls For Leakage Power Management Khushboo Sheth Master’s Thesis.
Patricia Gonzalez Divya Akella VLSI Class Project.
Copyright Agrawal, 2007ELEC6270 Spring 09, Lecture 71 ELEC 5270/6270 Spring 2009 Low-Power Design of Electronic Circuits Power Analysis: High-Level Vishwani.
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
Introduction to Computer Organization Pipelining.
11/15/05ELEC / Lecture 191 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Cache Pipelining with Partial Operand Knowledge Erika Gunadi and Mikko H. Lipasti Department of Electrical and Computer Engineering University of Wisconsin—Madison.
Characterizing Processors for Energy and Performance Management Harshit Goyal and Vishwani D. Agrawal Department of Electrical and Computer Engineering,
LOW POWER DESIGN METHODS
M V Ganeswara Rao Associate Professor Dept. of ECE Shri Vishnu Engineering College for Women Bhimavaram Hardware Architecture of Low-Power ALU using Clock.
PipeliningPipelining Computer Architecture (Fall 2006)
Power-Optimal Pipelining in Deep Submicron Technology
YASHWANT SINGH, D. BOOLCHANDANI
Temperature and Power Management
LOW POWER DESIGN METHODS V.ANANDI ASST.PROF,E&C MSRIT,BANGALORE.
Vishwani D. Agrawal James J. Danaher Professor
CDA 3101 Spring 2016 Introduction to Computer Organization
Vishwani D. Agrawal James J. Danaher Professor
M.S. Thesis Defense Murali Dharan Advisor: Dr. Vishwani D. Agrawal
CSV881: Low-Power Design Multicore Design for Low Power
Dual Mode Logic An approach for high speed and energy efficient design
Vishwani D. Agrawal James J. Danaher Professor
Vishwani D. Agrawal James J. Danaher Professor
Circuit Design Techniques for Low Power DSPs
A High Performance SoC: PkunityTM
Presentation transcript:

Architectural Power Management for High Leakage Technologies Department of Electrical and Computer Engineering Auburn University, Auburn, AL /15/ Manish Kulkarni, Khushaboo Sheth & Vishwani D. Agrawal Manish Kulkarni, Khushaboo Sheth & Vishwani Agrawal

Outline Energy source optimization methods Functional management Hardware modes for power reduction Power gating example Power savings in components of a processor SLOP implementation in a pipeline Power and energy savings Conclusion References 3/15/2011Manish Kulkarni, Khushaboo Sheth & Vishwani Agrawal2

3/15/2011Manish Kulkarni, Khushaboo Sheth & Vishwani Agrawal3 Clock Rate Management Functional Management Voltage Management Energy Source Optimization Methods Dynamic Voltage Management Multi-Voltage design Dynamic Frequency Management Retiming Fetch Throttling Dynamic Task Scheduling Instruction Slowdown Low Power solutions to common operations e.g. Low Power FSMs, Bus Encoding etc Dynamic Voltage and Frequency Scaling (DVFS) Clock Rate Management Functional Management Voltage Management Parallel and Multi-core Architectures

Low Power Design Techniques – Dynamic voltage and frequency scaling (DVFS) Scale Voltage and Frequency depending on throughput requirement. Use of multi-voltage domains and multiple clocks. – Frequency scaling at constant voltage (Clock Slowdown) Increase in leakage energy in high leakage technologies Voltage scaling has a limit. 22nm bulk CMOS, Vnom = 0.8 V, Vth = 0.32 V [4] High current at lower voltages causes higher IR drops in power rails in chips. Proposed method – Instruction slowdown [8] Voltage and Frequency are kept constant. Specialized instructions called Slowdown for LOw Power (SLOP) are inserted in the pipeline. Additional control is provided in the data path to execute Clock Gating (CG) or Power Gating (PG) of idle units in the pipeline. 3/15/2011Manish Kulkarni, Khushaboo Sheth & Vishwani Agrawal4 Functional Management

Hardware Modes for Power Reduction Power gating (PG) – Used primarily for combinational logic – Header or footer switches to reduce leakage power Clock gating (CG) – Used for flip flops and registers – Reduces switching activity; data is retained – No need for state retention Drowsy mode – Used for caches, memories and register files – Memory cells are put in low voltage mode – Address decoders and sense amplifiers in power gated mode 3/15/2011Manish Kulkarni, Khushaboo Sheth & Vishwani Agrawal5 Figure: Power Gating Figure: Clock Gating Logic Block Header Switch Sleep Virtual Supply

3/15/2011Manish Kulkarni, Khushaboo Sheth & Vishwani Agrawal6 Example of Power Gating Data 1 Data 2 Add / Sub Data Out bit ALU (Low V t ) Sleep Transistor Network (High V t ) VDD Sleep GND_V Normal X (W) Sleep X (W) Power Saving (%) Avg. Dynamic Power % Avg. Leakage Power % Peak Power % Minimum Power % Results obtained by Simulation of a 32-bit, ALU using HSPICE [5] with PTM bulk CMOS models [4]

3/15/2011Manish Kulkarni, Khushaboo Sheth & Vishwani Agrawal7 Hardware blockPower mode during SLOP Power consumed (%)* DynamicStatic PCCG25100 Instruction and Data cacheDrowsy25 Register fileCG30100 Forwarding, hazard unitPG≈0 ALU, FPU, comparators, branch decoders PG≈0 Control UnitNormal100 Pipeline registersCG50100 Multiplexers, other addersPG≈0 *Normal mode power consumption for each block is 100% PG – Power gating, CG – Clock gating Power savings in processor blocks

3/15/2011Manish Kulkarni, Khushaboo Sheth & Vishwani Agrawal8 CC1CC2 CC3CC4CC5CC6CC7 Normal Mode Operation LW $8, 0($7) ADD $9, $8, $2 SW $9, 0($7)

3/15/2011Manish Kulkarni, Khushaboo Sheth & Vishwani Agrawal9 Operation with One SLOP CC1CC2 CC3CC4CC5CC6CC7 LW $8, 0($7) ADD $9, $8, $2 SW $9, 0($7) SLOP CC8CC9

3/15/2011Manish Kulkarni, Khushaboo Sheth & Vishwani Agrawal10 IF CG PG Drowsy ID CG PG DM Drowsy PG EX PG WB PG

3/15/ T T T Instantaneous Power SLOP Freq. Scaling (Clock Slowdown) Instruction Slowdown - Dynamic Power - Leakage Power SLOP Normal

3/15/2011Manish Kulkarni, Khushaboo Sheth & Vishwani Agrawal12 Power, energy and lifetime ratios For 32 nm bulk CMOS models Ideal Battery of 800 mAh Capacity Power, energy and lifetimes are normalized to their values with zero SLOPs inserted i.e. normal mode of operation.

3/15/2011Manish Kulkarni, Khushaboo Sheth & Vishwani Agrawal13 Battery Lifetime Improvement For 32 nm bulk CMOS models Battery of 800 mAh Capacity

1.The proposed architectural power management method is demonstrated to be beneficial towards power optimization and energy source efficiency in high leakage technologies. 2.SLOP insertion method offers a unique opportunity in hardware and software management for energy efficiency. SLOPs may additionally eliminate pipeline hazards. 3.Use of SLOPs in superscalers and out-of-order processors can be further studied and analyzed. 3/15/2011Manish Kulkarni, Khushaboo Sheth & Vishwani Agrawal14 Conclusion

References 1.M. Pedram and Q. Wu, “Design Considerations for Battery-Powered Electronics,” Proc. 36th Design Automation Conference, June 1999, pp. 861– L. Benini, G. Castelli, A. Macii, E. Macii, M. Poncino, and R. Scarsi, “A Discrete-Time Battery Model for High-Level Power Estimation,” Proc. Conference on Design, Automation and Test in Europe, Mar. 2000, pp. 35–41. 3.M. Chen and G. A. Rincón-Mora, “Accurate Electrical Battery Model Capable of Predicting Runtime and I-V Performance,” IEEE Transactions on Energy Conversion, vol. 21, no. 2, pp. 504–511, June Simulation model: 45nm bulk CMOS, predictive technology model (PTM), Simulator: Synopsys HSPICE, ments/hspice ds.pdf ments/hspice ds.pdf 7.Kulkarni, M., Agrawal, V., “Matching Power Source to Electronic System: A tutorial on battery simulation”, VLSI Design and Test Symposium, July Khushaboo Sheth, “A Hardware-Software Processor Architecture using Pipeline Stalls for Leakage Power Management”, Master’s Thesis, Dec M. Kulkarni, “Energy Source Lifetime Optimization for a Digital System through Power Management,” Master’s Thesis, Dec /15/2011Manish Kulkarni, Khushaboo Sheth & Vishwani Agrawal15