Top-level Verilog Designs Discussion D9.1 Example 12.

Slides:



Advertisements
Similar presentations
HDL Programming Fundamentals
Advertisements

2’s Complement 4-Bit Saturator
Counters Discussion D8.3.
Verilog in transistor level using Microwind
CDA 3100 Recitation Week 11.
//HDL Example 4-10 // //Gate-level description of circuit of Fig. 4-2 module analysis (A,B,C,F1,F2); input.
Verilog.
Supplement on Verilog adder examples
EE 361 Fall 2003University of Hawaii1 Hardware Design Tips EE 361 University of Hawaii.
Verilog Modules for Common Digital Functions
CPEN Digital System Design
Table 7.1 Verilog Operators.
Anurag Dwivedi.  Verilog- Hardware Description Language  Modules  Combinational circuits  assign statement  Control statements  Sequential circuits.
CSE 341 Verilog HDL An Introduction. Hardware Specification Languages Verilog  Similar syntax to C  Commonly used in  Industry (USA & Japan) VHDL 
How to get a Circuit in verilog converted to hspice, connected to the micron package models, and simulating in hspice and hsimplus.
1 Workshop Topics - Outline Workshop 1 - Introduction Workshop 2 - module instantiation Workshop 3 - Lexical conventions Workshop 4 - Value Logic System.
Quad 2-to-1 and Quad 4-to-1 Multiplexers Discussion D2.4 Example 7.
7-Segment LED Display DD: Section Mano: Section 3.10.
Multiplexer as a Universal Element Discussion D2.6 Example 9.
7-Segment Display: Spartan-3 board
Edge-Triggered D Flip-Flops
Top-level VHDL Designs
Generic Multiplexers: Parameters Discussion D2.5 Example 8.
2-to-1 Multiplexer: if Statement Discussion D2.1 Example 4.
Pulse-Width Modulated DAC
Introduction to Verilog (Behavioral Modeling). Agenda Gate Delays and User-Defined Primitives Behavioral Modeling Design Examples Hands-on Practice.
Digilent Spartan 3 Board Lecture L2.2
LEDs 7-Segment Displays
Ring Counter Discussion 11.3 Example 32.
4-to-1 Multiplexer: case Statement Discussion D2.3 Example 6.
Arbitrary Waveform Discussion 12.2 Example 34. Recall Divide-by-8 Counter Use q2, q1, q0 as inputs to a combinational circuit to produce an arbitrary.
Counters Discussion 12.1 Example 33. Counters 3-Bit, Divide-by-8 Counter 3-Bit Behavioral Counter in Verilog Modulo-5 Counter An N-Bit Counter.
2-to-1 Multiplexer: if Statement Discussion D7.1 Example 4.
4-to-1 Multiplexer: Module Instantiation Discussion D7.2 Example 5.
A/D Converter Datapaths Discussion D8.4. Analog-to-Digital Converters Converts analog signals to digital signals –8-bit: 0 – 255 –10-bit: 0 – 1023 –12-bit:
Generic Multiplexers: Parameters Discussion D7.5 Example 8.
Multiplexers Lecture L6.6v Section 6.2. Multiplexers A Digital Switch A 2-to-1 MUX A 4-to-1 MUX A Quad 2-to-1 MUX The Verilog if…else Statement TTL Multiplexer.
Introduction to Verilog Multiplexers. Introduction to Verilog Verilog Hardware Description Language (Verilog HDL) released by Gateway Design Automation.
D Flip-Flops in Verilog Discussion 10.3 Example 27.
Quad 2-to-1 Multiplexer Discussion D7.4 Example 7.
Digital Logic Review Discussion D8.7.
7-Segment Display DIO1 Board Verilog.
Introduction to Basys 2. Switches Slide switchesPush button switches.
Figure 1.1 The Altera UP 3 FPGA Development board
Engineering 100 Section 250 Combinational Logic -- Examples 9/13/2010.
Encoder Section Outline Review: Sum of Products Encoder Priority Decoder Application of Priority Decoder.
 Delay values control the time between the change in a right-hand-side operand and when the new value is assigned to the left- hand side.  Three ways.
Verilog Intro: Part 2. Procedural Blocks There are two types of procedural blocks in Verilog. – initial for single-pass behavior: initial blocks execute.
Introduction Verilog is a HARDWARE DESCRIPTION LANGUAGE (HDL) A hardware description language is a language or means used to describe or model a digital.
Module 2.1 Gate-Level/Structural Modeling UNIT 2: Modeling in Verilog.
Chap. 4 Modules and Ports. 2 Modules and Ports Modules Ports Hierarchical Names Summary.
ECE 2372 Modern Digital System Design Section 4.8 Xilinx Schematic Capture Simulation Tutorial.
4-to-1 Multiplexer: Module Instantiation Discussion D2.2 Example 5.
CSCI-365 Computer Organization Lecture Note: Some slides and/or pictures in the following are adapted from: Computer Organization and Design, Patterson.
Introduction to Verilog Section Outline Set Up the Environment Your First Verilog File Set Up the Test Bench Running the Simulation.
Multiplexers Section Topics Multiplexers – Definition – Examples – Verilog Modeling.
3.13 How many output lines will a five-input decoder have?
Lecture No. 18 Combinational Functional Devices. Recap Decoder Decoder –3-to-8 Decoder –Cascading of Decoders 4-to-16 decoder –Implementing SOP & POS.
ENG6530 Reconfigurable Computing Systems
Hasib Hasan Ankit Baingane Edward Hanson
Pulse-Width Modulation (PWM)
Logic Design Review – 2 Basic Combinational Circuits
Designing Digital Circuits Using Hardware Description Languages (HDLs)
Analog-to-Digital Converters
The Verilog Hardware Description Language
Supplement on Verilog combinational circuit examples
Prof. Onur Mutlu ETH Zurich Spring March 2019
Today’s Lab Start working with Xilinx
Test Fixture Template module testfixture ; // data type declaration
Introduction to Digital IC Design
Presentation transcript:

Top-level Verilog Designs Discussion D9.1 Example 12

Mux / 7-Segment Decoder

// Example 12: Top-level design module mux7seg ( input wire [7:0] sw, input wire s1, output wire [6:0] a_to_g ); // Intermediate signal wire [3:0] y; mux24 MUX1(.a(sw[3:0]),.b(sw[7:4]),.s(s1),.y(y) ); hex7seg d7R(.x(y),.a_to_g(a_to_g) ); endmodule

mux24 MUX1(.a(sw[3:0]),.b(sw[7:4]),.s(s1),.y(y) );

hex7seg d7R(.x(y),.a_to_g(a_to_g) );

// Example 12: Top-level design module mux7seg ( input wire [7:0] sw, input wire s1, output wire [6:0] a_to_g ); // Intermediate signal wire [3:0] y; mux24 MUX1(.a(sw[3:0]),.b(sw[7:4]),.s(s1),.y(y) ); hex7seg d7R(.x(y),.a_to_g(a_to_g) ); endmodule

Aldec Active-HDL Simulation

2-Digit / 7-Segment Display

// Example 12b: 2-digit, 7-segment display module two7seg ( input wire [7:0] sw, output wire [6:0] a_to_g, output wire [6:0] aa_to_gg ); hex7seg d7L(.x(sw[7:4]),. a_to_g(aa_to_gg) ); hex7seg d7R(.x(sw[3:0]),. a_to_g(a_to_g) ); endmodule

Aldec Active-HDL Simulation