Quad 2-to-1 Multiplexer Discussion D7.4 Example 7.

Slides:



Advertisements
Similar presentations
Digital System Design-II (CSEB312)
Advertisements

VERILOG: Synthesis - Combinational Logic Combination logic function can be expressed as: logic_output(t) = f(logic_inputs(t)) Rules Avoid technology dependent.
©2004 Brooks/Cole FIGURES FOR CHAPTER 10 INTRODUCTION TO VHDL Click the mouse to move to the next page. Use the ESC key to exit this chapter. This chapter.
//HDL Example 8-2 // //RTL description of design example (Fig.8-9) module Example_RTL (S,CLK,Clr,E,F,A);
Verilog in transistor level using Microwind
CPSC 321 Computer Architecture Andreas Klappenecker
CDA 3100 Recitation Week 11.
//HDL Example 4-10 // //Gate-level description of circuit of Fig. 4-2 module analysis (A,B,C,F1,F2); input.
Verilog.
Verilog Overview. University of Jordan Computer Engineering Department CPE 439: Computer Design Lab.
Supplement on Verilog adder examples
EE 361 Fall 2003University of Hawaii1 Hardware Design Tips EE 361 University of Hawaii.
Combinational Logic.
Verilog Modules for Common Digital Functions
CPEN Digital System Design
Table 7.1 Verilog Operators.
COE 405 Design and Synthesis of DataPath Controllers Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals.
Anurag Dwivedi.  Verilog- Hardware Description Language  Modules  Combinational circuits  assign statement  Control statements  Sequential circuits.
Multiplexer as a Universal Function Generator Lecture L6.7 Section 6.2.
Case Study VLSI 系統設計與高階合成           + : delay : multiplier: adder … … + … … FIR Filter tap=4 IIR Case - Filter (1/8)
CSE 201 Computer Logic Design * * * * * * * Verilog Modeling
//HDL Example 5-1 // //Description of D latch (See Fig.5-6) module D_latch (Q,D,control); output Q; input.
Verilog. 2 Behavioral Description initial:  is executed once at the beginning. always:  is repeated until the end of simulation.
//HDL Example 6-1 // //Behavioral description of //Universal shift register // Fig. 6-7 and Table 6-3 module shftreg.
Latches and Flip-Flops Discussion D8.1 Section 13-9.
Specifies combinational logic (unclocked) always stmt. should use “=“ (called “blocking” assignment) in comb. logic always statements. RHS just takes output.
Quad 2-to-1 and Quad 4-to-1 Multiplexers Discussion D2.4 Example 7.
7-Segment LED Display DD: Section Mano: Section 3.10.
Multiplexer as a Universal Element Discussion D2.6 Example 9.
Edge-Triggered D Flip-Flops
Generic Multiplexers: Parameters Discussion D2.5 Example 8.
2-to-1 Multiplexer: if Statement Discussion D2.1 Example 4.
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
Pulse-Width Modulated DAC
Multiplexers Lecture L6.4 Section 6.4.
OUTLINE Introduction Basics of the Verilog Language Gate-level modeling Data-flow modeling Behavioral modeling Task and function.
Verilog Sequential Circuits Ibrahim Korpeoglu. Verilog can be used to describe storage elements and sequential circuits as well. So far continuous assignment.
Ring Counter Discussion 11.3 Example 32.
Multiplexers Module M6.1 Section 6.4. Multiplexers A 4-to-1 MUX TTL Multiplexer A 2-to-1 MUX.
4-to-1 Multiplexer: case Statement Discussion D2.3 Example 6.
Arbitrary Waveform Discussion 12.2 Example 34. Recall Divide-by-8 Counter Use q2, q1, q0 as inputs to a combinational circuit to produce an arbitrary.
Counters Discussion 12.1 Example 33. Counters 3-Bit, Divide-by-8 Counter 3-Bit Behavioral Counter in Verilog Modulo-5 Counter An N-Bit Counter.
2-to-1 Multiplexer: if Statement Discussion D7.1 Example 4.
Multiplexer as a Universal Function Generator
4-to-1 Multiplexer: Module Instantiation Discussion D7.2 Example 5.
CS 61C Discussion 10 (1) Jaein Jeong Fall input MUX °Out = in0 * select’ + in1 * select in0in1selectout
A/D Converter Datapaths Discussion D8.4. Analog-to-Digital Converters Converts analog signals to digital signals –8-bit: 0 – 255 –10-bit: 0 – 1023 –12-bit:
Registers and Shift Registers Discussion D8.2. D Flip-Flop X 0 Q 0 ~Q 0 D CLK Q ~Q D gets latched to Q on the rising edge of the clock. Positive.
Generic Multiplexers: Parameters Discussion D7.5 Example 8.
Multiplexers Lecture L6.6v Section 6.2. Multiplexers A Digital Switch A 2-to-1 MUX A 4-to-1 MUX A Quad 2-to-1 MUX The Verilog if…else Statement TTL Multiplexer.
Introduction to Verilog Multiplexers. Introduction to Verilog Verilog Hardware Description Language (Verilog HDL) released by Gateway Design Automation.
Top-level Verilog Designs Discussion D9.1 Example 12.
D Flip-Flops in Verilog Discussion 10.3 Example 27.
7-Segment Display DIO1 Board Verilog.
Introduction to Basys 2. Switches Slide switchesPush button switches.
Engineering 100 Section 250 Combinational Logic -- Examples 9/13/2010.
Verilog Intro: Part 2. Procedural Blocks There are two types of procedural blocks in Verilog. – initial for single-pass behavior: initial blocks execute.
Figure 6.1. A 2-to-1 multiplexer.
Week Four Design & Simulation Example slides. Agenda Review the tiny example (Minako “logic”)from last week – look at the detailed static timing report.
Traffic Lights Discussion D8.3a. Recall Divide-by-8 Counter Use Q2, Q1, Q0 as inputs to a combinational circuit to produce an arbitrary waveform. s0 0.
4-to-1 Multiplexer: Module Instantiation Discussion D2.2 Example 5.
Multiplexers Section Topics Multiplexers – Definition – Examples – Verilog Modeling.
1 Modeling Combinational Logic Circuits Debdeep Mukhopadhyay Associate Professor Dept of Computer Science and Engineering NYU Shanghai and IIT Kharagpur.
Designing Combinational Logic Circuits in Verilog - 1
Reg and Wire:.
Hasib Hasan Ankit Baingane Edward Hanson
Pulse-Width Modulation (PWM)
The Verilog Hardware Description Language
Supplement on Verilog combinational circuit examples
//HDL Example 7-1 // //Read and write operations of memory. //Memory size is 64 words of 4 bits each. module.
Presentation transcript:

Quad 2-to-1 Multiplexer Discussion D7.4 Example 7

Quad 2-to-1 Multiplexer

assign y = ~s & a | s & b;

{0,0,0,~s} & {a[3],a[2],a[1],a[0]} = {0,0,0,~s & A[0]} ~s & a; {4(~s)} & A = {~s,~s,~s,~s} & {a[3],a[2],a[1],a[0]} = {~s & a[3],~s & a[2],~s & a[1],~s & a[0]}

// Example 7a: Quad 2-to-1 mux using logic equations module mux24( input wire [3:0] a, input wire [3:0] b, input wire s, output wire [3:0] y ); assign y = {4{~s}} & a | {4{s}} & b; endmodule

// Example 7b: Quad 2-to-1 mux using if statement module mux24( input wire [3:0] a, input wire [3:0] b, input wire s, output reg [3:0] y ); if(s == 0) y = a; else y = b; endmodule

// Example 7c: Quad 2-to-1 mux using ? operator module mux24( input wire [3:0] a, input wire [3:0] b, input wire s, output wire [3:0] y ); assign y = s ? b : a; endmodule

Aldec Active-HDL Simulation