-1- UC San Diego / VLSI CAD Laboratory Methodology for Electromigration Signoff in the Presence of Adaptive Voltage Scaling Wei-Ting Jonas Chan, Andrew.

Slides:



Advertisements
Similar presentations
Topics Electrical properties of static combinational gates:
Advertisements

Tunable Sensors for Process-Aware Voltage Scaling
OCV-Aware Top-Level Clock Tree Optimization
-1- VLSI CAD Laboratory, UC San Diego Post-Routing BEOL Layout Optimization for Improved Time- Dependent Dielectric Breakdown (TDDB) Reliability Tuck-Boon.
NTHU-CS VLSI/CAD LAB TH EDA De-Shiuan Chiou Da-Cheng Juan Yu-Ting Chen Shih-Chieh Chang Department of CS, National Tsing Hua University, Taiwan Fine-Grained.
Timing Margin Recovery With Flexible Flip-Flop Timing Model
Minimum Implant Area-Aware Gate Sizing and Placement
Paul Falkenstern and Yuan Xie Yao-Wen Chang Yu Wang Three-Dimensional Integrated Circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis ASPDAC’10.
Noise Model for Multiple Segmented Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu †, Niranjan A. Pol ‡ and Devendra Vidhani* UCSD CSE and ECE.
UC San Diego / VLSI CAD Laboratory NOLO: A No-Loop, Predictive Useful Skew Methodology for Improved Timing in IC Implementation Tuck-Boon Chan, Andrew.
The Cost of Fixing Hold Time Violations in Sub-threshold Circuits Yanqing Zhang, Benton Calhoun University of Virginia Motivation and Background Power.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Supported by NSF & MARCO GSRC Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego.
Toward PDN Resource Estimation: A Law of General Power Density Kwangok Jeong and Andrew B. Kahng
Design Sensitivities to Variability: Extrapolations and Assessments in Nanometer VLSI Y. Kevin Cao *, Puneet Gupta +, Andrew Kahng +, Dennis Sylvester.
Architectural-Level Prediction of Interconnect Wirelength and Fanout Kwangok Jeong, Andrew B. Kahng and Kambiz Samadi UCSD VLSI CAD Laboratory
Supply Voltage Degradation Aware Analytical Placement Andrew B. Kahng, Bao Liu and Qinke Wang UCSD CSE Department {abk, bliu,
מודלים של חיבורי ביניים מודלים חשמליים של חיבורי ביניים עבור מעגלי VLSI פרופ ’ יוסי שחם המחלקה לאלקטרוניקה פיזיקלית, אוניברסיטת ת ” א.
04/09/02EECS 3121 Lecture 25: Interconnect Modeling EECS 312 Reading: 8.3 (text), 4.3.2, (2 nd edition)
On Modeling and Sensitivity of Via Count in SOC Physical Implementation Kwangok Jeong Andrew B. Kahng.
NTHU-CS VLSI/CAD LAB TH EDA Student : Da-Cheng Juan Advisor : Shih-Chieh Chang Fine-Grained Sleep Transistor Sizing Algorithm for Leakage Power Minimization.
Chung-Kuan Cheng†, Andrew B. Kahng†‡,
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
1 UCSD VLSI CAD Laboratory ISQED-2009 Revisiting the Linear Programming Framework for Leakage Power vs. Performance Optimization Kwangok Jeong, Andrew.
Analytical Thermal Placement for VLSI Lifetime Improvement and Minimum Performance Variation Andrew B. Kahng †, Sung-Mo Kang ‡, Wei Li ‡, Bao Liu † † UC.
ELEN 468 Lecture 271 ELEN 468 Advanced Logic Design Lecture 27 Interconnect Timing Optimization II.
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Timing Analysis and Optimization Implications of Bimodal CD Distribution in Double Patterning Lithography Kwangok Jeong and Andrew B. Kahng VLSI CAD LABORATORY.
University of Michigan Electrical Engineering and Computer Science 1 Online Timing Analysis for Wearout Detection Jason Blome, Shuguang Feng, Shantanu.
Integrated Regulation for Energy- Efficient Digital Circuits Elad Alon 1 and Mark Horowitz 2 1 UC Berkeley 2 Stanford University.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,
Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems Andrew B. Kahng, Bill Lin and Siddhartha Nath VLSI CAD LABORATORY,
Yulei Zhang1, James F. Buckwalter1, and Chung-Kuan Cheng2
UC San Diego / VLSI CAD Laboratory Reliability-Constrained Die Stacking Order in 3DICs Under Manufacturing Variability Tuck-Boon Chan, Andrew B. Kahng,
Andrew B. Kahng‡†, Mulong Luo†, Siddhartha Nath†
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
Items for Discussion Chip reliability & testing Testing: who/where/what ??? GBTx radiation testing GBTx SEU testing Packaging – Low X0 options, lead free.
Accuracy-Configurable Adder for Approximate Arithmetic Designs
1 VLSI Design SMD154 LOW-POWER DESIGN Magnus Eriksson & Simon Olsson.
-1- UC San Diego / VLSI CAD Laboratory A Global-Local Optimization Framework for Simultaneous Multi-Mode Multi-Corner Clock Skew Variation Reduction Kwangsoo.
A New Methodology for Reduced Cost of Resilience Andrew B. Kahng, Seokhyeong Kang and Jiajia Li UC San Diego VLSI CAD Laboratory.
Power Reduction for FPGA using Multiple Vdd/Vth
UC San Diego / VLSI CAD Laboratory Toward Quantifying the IC Design Value of Interconnect Technology Improvement Tuck-Boon Chan, Andrew B. Kahng, Jiajia.
EE 5900 Advanced Algorithms for Robust VLSI CAD, Spring 2009 Static Timing Analysis and Gate Sizing.
UC San Diego / VLSI CAD Laboratory Incremental Multiple-Scan Chain Ordering for ECO Flip-Flop Insertion Andrew B. Kahng, Ilgweon Kang and Siddhartha Nath.
-1- UC San Diego / VLSI CAD Laboratory Construction of Realistic Gate Sizing Benchmarks With Known Optimal Solutions Andrew B. Kahng, Seokhyeong Kang VLSI.
Kwangsoo Han, Andrew B. Kahng, Hyein Lee and Lutong Wang
Toward Holistic Modeling, Margining and Tolerance of IC Variability
Outline Introduction: BTI Aging and AVS Signoff Problem
-1- Statistical Analysis and Modeling for Error Composition in Approximate Computation Circuits Wei-Ting Jonas Chan 1, Andrew B. Kahng 1, Seokhyeong.
Eyecharts: Constructive Benchmarking of Gate Sizing Heuristics Puneet Gupta, University of California, Los Angeles Andrew B. Kahng, University of California,
INTERCONNECT MODELING M.Arvind 2nd M.E Microelectronics
Distributed Computation: Circuit Simulation CK Cheng UC San Diego
UC San Diego / VLSI CAD Laboratory Learning-Based Approximation of Interconnect Delay and Slew Modeling in Signoff Timing Tools Andrew B. Kahng, Seokhyeong.
Mixed Cell-Height Implementation for Improved Design Quality in Advanced Nodes Sorin Dobre +, Andrew B. Kahng * and Jiajia Li * * UC San Diego VLSI CAD.
-1- UC San Diego / VLSI CAD Laboratory On Potential Design Impacts of Electromigration Awareness Andrew B. Kahng, Siddhartha Nath and Tajana S. Rosing.
-1- UC San Diego / VLSI CAD Laboratory Optimization of Overdrive Signoff Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li and Siddhartha Nath Tuck-Boon Chan,
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
-1- UC San Diego / VLSI CAD Laboratory Optimal Reliability-Constrained Overdrive Frequency Selection in Multicore Systems Andrew B. Kahng and Siddhartha.
1 Modeling and Optimization of VLSI Interconnect Lecture 2: Interconnect Delay Modeling Avinoam Kolodny Konstantin Moiseev.
1 Hardware Reliability Margining for the Dark Silicon Era Liangzhen Lai and Puneet Gupta Department of Electrical Engineering University of California,
14 February, 2004SLIP, 2004 Self-Consistent Power/Performance/Reliability Analysis for Copper Interconnects Bipin Rajendran, Pawan Kapur, Krishna C. Saraswat.
Improved Flop Tray-Based Design Implementation for Power Reduction
Kun Young Chung*, Andrew B. Kahng+ and Jiajia Li+
2014 Spring ASIC/SOC Design
Revisiting and Bounding the Benefit From 3D Integration
Energy Efficient Power Distribution on Many-Core SoC
HotAging — Impact of Power Dissipation on Hardware Degradation
Presentation transcript:

-1- UC San Diego / VLSI CAD Laboratory Methodology for Electromigration Signoff in the Presence of Adaptive Voltage Scaling Wei-Ting Jonas Chan, Andrew B. Kahng and Siddhartha Nath VLSI CAD LABORATORY, UC San Diego

-2- Outline Motivation Motivation Previous Work Previous Work Analysis Models Analysis Models Experimental Setup and Results Experimental Setup and Results Conclusions Conclusions

-3- Bias Temperature Instability (BTI) |ΔV th | increases when device is on (stressed) |ΔV th | is partially recovered when device is off (relaxed) Device aging (|ΔV th |) accumulates over time NBTI: PMOS PBTI: NMOS |V gs | time ONOFF ONOFF [VattikondaWC06]

-4- Electromigration in Interconnects Electromigration (EM) is the gradual displacement of metal atoms in an interconnect Electromigration (EM) is the gradual displacement of metal atoms in an interconnect I avg causes DC EM and affects power delivery networks I avg causes DC EM and affects power delivery networks I rms causes AC EM and affects clock and logic signals I rms causes AC EM and affects clock and logic signals

-5- Adaptive Voltage Scaling (AVS) Accumulated BTI  higher |ΔV th |  slower circuit Accumulated BTI  higher |ΔV th |  slower circuit AVS can compensate for performance degradation AVS can compensate for performance degradation Circuit Closed-loop AVS On-chip aging monitor Circuit performance Voltage regulator Circuit performance Vdd time Without AVS With AVS target

-6- BTI + AVS Signoff V lib V BTI Derated library |Vt||Vt| Circuit implementation and signoff netlist BTI degradation and AVS V final ? Step 1Step 2Step 3 Signoff loop of BTI Ensure circuit meets timing requirements under BTI aging Ensure circuit meets timing requirements under BTI aging Use AVS to offset BTI degradation Use AVS to offset BTI degradation

-7- EM + BTI + AVS Signoff? Stress on Wires V final Design Implementation V lib, V BTI Derated Libraries Signoff loop of BTI + EM Aggressive AVS scheduling results in more severe degradation Aggressive AVS scheduling results in more severe degradation Guardband during implementation increases due to degradation Guardband during implementation increases due to degradation How to signoff for EM with AVS? How to signoff for EM with AVS? What are area, power costs? What are area, power costs? What is the impact to EM lifetime? What is the impact to EM lifetime? BTI loop EM loop

-8- Outline Motivation Motivation Previous Work Previous Work Analysis Models Analysis Models Experimental Setup and Results Experimental Setup and Results Conclusions Conclusions

-9- Previous Works EM lifetime and wire degradation models EM lifetime and wire degradation models –Closed-form lifetime models (Black, Arnaud et al., Federspiel et al.) –Statistical model for wire degradation (Mishra et al.) Claim their model reduces pessimism in Black’s Equation Claim their model reduces pessimism in Black’s Equation EM-durable circuits EM-durable circuits –Wire-sizing algorithms (Adler et al., Jiang et al.) –Wire segmentation and via insertion algorithms (Li et al.) –Current-aware routers (Lienig et al., Yan et al.) BTI Signoff BTI Signoff –Interactions between AVS and BTI (Chan et al., Chen et al., Basoglu et al.) No studies on three-way interactions between BTI, EM and AVS!!!

-10- Outline Motivation Motivation Previous Work Previous Work Analysis Models Analysis Models Experimental Setup and Results Experimental Setup and Results Conclusions Conclusions

-11- EM Model: Black’s Equation t 50 – median time to failure (= log e 2 x MTTF) t 50 – median time to failure (= log e 2 x MTTF) A* – geometry-dependent constant A* – geometry-dependent constant J – current density in interconnect segment J – current density in interconnect segment n – constant ( = 2) n – constant ( = 2) E a – activation energy of metal atoms E a – activation energy of metal atoms k – Boltzmann’s constant k – Boltzmann’s constant T – temperature of the interconnect T – temperature of the interconnect EM degrades interconnect lifetime EM degrades interconnect lifetime Black’s Equation calculates lifetime of interconnect segment due to EM degradation Black’s Equation calculates lifetime of interconnect segment due to EM degradation

-12- New EM Model: Mishra-Sapatnekar Models resistance increase due to voids in wires instead of MTTF Models resistance increase due to voids in wires instead of MTTF Derived from statistical model of nucleation and growth time Derived from statistical model of nucleation and growth time Log-normal distribution

-13- New EM Model: Impact on Signal Wires Sweep different gate sizes up to 8× Sweep different gate sizes up to 8× Larger gates do not necessarily help to reduce EM impact Larger gates do not necessarily help to reduce EM impact ∼ 8% delay degradation for buffers smaller than 4× when resistance increases to high values ( ∼ 146%) ∼ 8% delay degradation for buffers smaller than 4× when resistance increases to high values ( ∼ 146%) Statistical model is optimistic in predicting delay penalties

-14- New EM Model: Impact on Signal Wires Sweep FO4 capacitive load by factors {1.0×, 1.6×, 2.1×} Sweep FO4 capacitive load by factors {1.0×, 1.6×, 2.1×} EM slows down circuit performance due to EM slows down circuit performance due to  increased stage delay  increased output transition times Delay increases by ~35% with large resistance increase ~200%

-15- Outline Motivation Motivation Previous Work Previous Work Analysis Models Analysis Models Experimental Setup and Results Experimental Setup and Results Conclusions Conclusions

-16- Experimental Setup Multiple implementations based on different signoff corners Multiple implementations based on different signoff corners AES and DMA designs from Opencores AES and DMA designs from Opencores 28nm foundry FDSOI technology 28nm foundry FDSOI technology Commercial tool-based SP&R flows Commercial tool-based SP&R flows Synopsys PrimeTime for timing analysis Synopsys PrimeTime for timing analysis Matlab for AVS simlulation with BTI and EM Matlab for AVS simlulation with BTI and EM

-17- AVS Signoff Corner Selection Impl# V lib (V)V min V max V min 0.98V0.97V0.96V0.95V V BTI (V)V min V max N/A0.98V0.97V0.96V0.95V Characterize different derated libraries against BTI Evaluate impact of library characterization V final is predicted by cell chains ahead of implementation Eight implementations 1 : V BTI = V lib = V min  Ignore AVS 2 : Most pessimistic derated library 3 : V BTI = V lib = V max  Extreme corner for AVS 4 : No derated library (reference) 5 : Sweep around V final 6 : V final by cell chain prediction [ChanCK13] 7 : Sweep around V final 8 : Sweep around V final

-18- AVS Signoff Corner Selection Optimistic about AVS Pessimistic about AVS

-19- AVS Impact on EM Lifetime V final (V) Assume no EM fix at signoff BTI degradation is checked at each step and MTTF is updated as 30% MTTF penalty 200mV voltage compensation

-20- Power Penalty to Fix EM with AVS Core power increases due to elevated voltage P/G power increases due to both elevated voltage and mesh degradation A tradeoff between invested guardband in signoff Highest invested guardband Least invested guardband 14% power penalty

-21- EM Impact on AVS Scheduling AVS behavior is an important role to decide the EM penalty on lifetime AVS behavior is an important role to decide the EM penalty on lifetime We empirically sweep AVS voltage step size to obtain the impact We empirically sweep AVS voltage step size to obtain the impact –#Implementation 3 is used –AVS starts at 0.9V, and no EM fix for AVS in signoff 5 step sizes 5 step sizes –S1 = 8mV –S2 = 10mV –S3 = 15mV –S4 = 18mV –S5 = 20mV

-22- EM Impact on AVS Scheduling 1.2 years MTTF penalty

-23- Outline Motivation Motivation Previous Work Previous Work Analysis Models Analysis Models Experimental Setup and Results Experimental Setup and Results Conclusions Conclusions

-24- Conclusions We study the joint impact of BTI, AVS and EM on signoff We study the joint impact of BTI, AVS and EM on signoff We study two EM models and their impact on implementation (i) Black’s Equation and (ii) Mishra- Sapatnekar We study two EM models and their impact on implementation (i) Black’s Equation and (ii) Mishra- Sapatnekar We demonstrate empirical results for lifetime, area and power penalty due to EM when AVS is involved We demonstrate empirical results for lifetime, area and power penalty due to EM when AVS is involved –Up to 30% lifetime penalty We demonstrate empirical results for power at different signoff corners We demonstrate empirical results for power at different signoff corners –Up to 14% power penalty Ongoing Ongoing –Improve accuracy of signoff using a temperature gradient –Learning-based modeling to quantify design costs of reliability

-25- Thank you!

-26- Backup

-27- EM Model: Mishra-Sapatnekar Log-normal distribution

-28- Study on EM Impact in AVS System V regulator Core (V DD domain) Mesh and ring ∆R PG (due to EM) Assume two types of degradation Assume two types of degradation IR drop due to power mesh degradation (∆R PG due to EM) Signal wire degradation due to EM Signal wire degradation due to EM