© Copyright Alvarion Ltd. Hardware Acceleration February 2006.

Slides:



Advertisements
Similar presentations
Reconfigurable Computing After a Decade: A New Perspective and Challenges For Hardware-Software Co-Design and Development Tirumale K Ramesh, Ph.D. Boeing.
Advertisements

FPGA (Field Programmable Gate Array)
ECOE 560 Design Methodologies and Tools for Software/Hardware Systems Spring 2004 Serdar Taşıran.
1 of 24 The new way for FPGA & ASIC development © GE-Research.
1 SECURE-PARTIAL RECONFIGURATION OF FPGAs MSc.Fisnik KRAJA Computer Engineering Department, Faculty Of Information Technology, Polytechnic University of.
Altera FLEX 10K technology in Real Time Application.
Evolution and History of Programming Languages Software/Hardware/System.
University Of Vaasa Telecommunications Engineering Automation Seminar Signal Generator By Tibebu Sime 13 th December 2011.
EELE 367 – Logic Design Module 2 – Modern Digital Design Flow Agenda 1.History of Digital Design Approach 2.HDLs 3.Design Abstraction 4.Modern Design Steps.
Synchron’08 Jean-François LE TALLEC INRIA SOP lab, AOSTE INRIA SOP lab, EPI AOSTE ScaleoChip Company SoC Conception Methodology.
LOGO HW/SW Co-Verification -- Mentor Graphics® Seamless CVE By: Getao Liang March, 2006.
Graduate Computer Architecture I Lecture 15: Intro to Reconfigurable Devices.
© Copyright Alvarion Ltd. “Dead Sea Works” Customer Story Oded Pluda Alvarion, 2007.
Feng-Xiang Huang A Low-Cost SOC Debug Platform Based on On-Chip Test Architectures.
Usage of System C Marco Steffan Overview Standard Existing Tools Companies using SystemC.
1 HW/SW Partitioning Embedded Systems Design. 2 Hardware/Software Codesign “Exploration of the system design space formed by combinations of hardware.
DSI Division of Integrated Systems Design Functional Verification Environments Development Goals Our main goals are in the field of developing modular.
Configurable System-on-Chip: Xilinx EDK
Evolution of implementation technologies
HW/SW Co-Synthesis of Dynamically Reconfigurable Embedded Systems HW/SW Partitioning and Scheduling Algorithms.
Implementation of DSP Algorithm on SoC. Mid-Semester Presentation Student : Einat Tevel Supervisor : Isaschar Walter Accompaning engineer : Emilia Burlak.
Embedded Systems Design at Mentor. Platform Express Drag and Drop Design in Minutes IP Described In XML Databook s Simple System Diagrams represent complex.
Methods to Differentiate Mil/Aero Solutions Using FPGAs BOF session W – Focus on verification Dan Gardner Final MAPLD BOF Presentation.
1 A survey on Reconfigurable Computing for Signal Processing Applications Anne Pratoomtong Spring2002.
(1) Introduction © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
Constructive Computer Architecture Tutorial 4: SMIPS on FPGA Andy Wright 6.S195 TA October 7, 2013http://csg.csail.mit.edu/6.s195T04-1.
EKT303/4 PRINCIPLES OF PRINCIPLES OF COMPUTER ARCHITECTURE (PoCA)
1 Chapter 2. The System-on-a-Chip Design Process Canonical SoC Design System design flow The Specification Problem System design.
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
Chap. 1 Overview of Digital Design with Verilog. 2 Overview of Digital Design with Verilog HDL Evolution of computer aided digital circuit design Emergence.
Why do so many chips fail? Ira Chayut, Verification Architect (opinions are my own and do not necessarily represent the opinion of my employer)
1CADENCE DESIGN SYSTEMS, INC. Cadence Proposed Transaction Level Interface Enhancements for SCE-MI SEPTEMBER 11, 2003.
Presenter: Hong-Wei Zhuang On-Chip SOC Test Platform Design Based on IEEE 1500 Standard Very Large Scale Integration (VLSI) Systems, IEEE Transactions.
Automated Design of Custom Architecture Tulika Mitra
1 Integration Verification: Re-Create or Re-Use? Nick Gatherer Trident Digital Systems.
Design Verification An Overview. Powerful HDL Verification Solutions for the Industry’s Highest Density Devices  What is driving the FPGA Verification.
© 2005 Altera Corporation SOPC Builder: a Design Tool for Rapid System Prototyping on FPGAs Kerry Veenstra Workshop on Architecture Research using FPGA.
Xilinx Programmable Logic Design Solutions Version 2.1i Designing the Industry’s First 2 Million Gate FPGA Drop-In 64 Bit / 66 MHz PCI Design.
경종민 1 Multiple-FPGA System; SoC Verification using an array of FPGA ’ s.
집적회로 Spring 2007 Prof. Sang Sik AHN Signal Processing LAB.
System Design with CoWare N2C - Overview. 2 Agenda q Overview –CoWare background and focus –Understanding current design flows –CoWare technology overview.
Chameleon Chip. Topics Covered 1.Introduction 2.Multifunction Implementation 3.The General Architecture Of Reconfigurable Processor 4.Architecture 5.Reconfigurable.
Real-Time Operating Systems for Embedded Computing 李姿宜 R ,06,10.
J. Christiansen, CERN - EP/MIC
Galen SasakiEE 260 University of Hawaii1 Electronic Design Automation (EDA) EE 260 University of Hawaii.
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
- 1 - EE898_HW/SW Partitioning Hardware/software partitioning  Functionality to be implemented in software or in hardware? No need to consider special.
DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE Novel, Emerging Computing System Technologies Smart Technologies for Effective Reconfiguration: The FASTER approach.
BridgePoint Integration John Wolfe / Robert Day Accelerated Technology.
EKT303/4 PRINCIPLES OF PRINCIPLES OF COMPUTER ARCHITECTURE (PoCA)
Development of Programmable Architecture for Base-Band Processing S. Leung, A. Postula, Univ. of Queensland, Australia A. Hemani, Royal Institute of Tech.,
경종민 1 Overview of SoC Design process (2) Chip integration & Software development.
1 Hardware/Software Co-Design Final Project Emulation on Distributed Simulation Co-Verification System 陳少傑 教授 R 黃鼎鈞 R 尤建智 R 林語亭.
SOC Virtual Prototyping: An Approach towards fast System- On-Chip Solution Date – 09 th April 2012 Mamta CHALANA Tech Leader ST Microelectronics Pvt. Ltd,
This material exempt per Department of Commerce license exception TSU Xilinx On-Chip Debug.
© Copyright Alvarion Ltd. SVA Dafna Senderovich Jan 2006.
© 2000 Morgan Kaufman Overheads for Computers as Components Host/target design  Use a host system to prepare software for target system: target system.
Chapter 11 System-Level Verification Issues. The Importance of Verification Verifying at the system level is the last opportunity to find errors before.
Real-Time System-On-A-Chip Emulation.  Introduction  Describing SOC Designs  System-Level Design Flow  SOC Implemantation Paths-Emulation and.
1 of 24 The new way for FPGA & ASIC development © GE-Research.
Presenter: Yi-Ting Chung Fast and Scalable Hybrid Functional Verification and Debug with Dynamically Reconfigurable Co- simulation.
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
Programmable Logic Devices
Programmable Hardware: Hardware or Software?
Figure 1 PC Emulation System Display Memory [Embedded SOC Software]
A High Performance SoC: PkunityTM
H a r d w a r e M o d e l i n g O v e r v i e w
Digital Designs – What does it take
NetFPGA - an open network development platform
System View Inc..
Presentation transcript:

© Copyright Alvarion Ltd. Hardware Acceleration February 2006

Proprietary and Confidential Information 2 The Problem 1.Verification tools have not kept pace with the incredible rate at which design sizes are growing. 2.Each verification stage has its own methodology, tools, models and user inteface. 3.Embedded Software debug/integration is started late after first silicon/prototype. System-on-a-chip verification, 2001 – P.Rashinkar Design complexity

Proprietary and Confidential Information 3 Simulation Hardware Accelerated Simulation Emulation Formal Verification Semi-formal Verification Prototyping Faster speed, closer to final product Bigger coverage Basic verification toolMethodologies

Proprietary and Confidential Information 4 Hardware-Accelerated Simulation Simulation performance is improved by moving the time- consuming part of the design to hardware. Usually, the software simulation communicates with FPGA- based hardware accelerator. Simulation environment Testbench Module 0 Module 1 Module 2 Hardware Accelerator Module 2 is synthesized & compiled into FPGAs

Proprietary and Confidential Information 5  Pros  Fast (100K cycles/sec)  Cheaper than hardware emulation  Debugging is easier as the circuit structure is unchanged.  Not an Overhead : Deployed as a step stone in the gradual refinement  Cons (Obstacles to overcome)  Set-up time overhead to map RTL design into the hardware can be substantial.  SW-HW communication speed can degrade the performance.  Debugging of signals within the hardware can be difficult. Hardware-Accelerated Simulation

Proprietary and Confidential Information 6Emulation  Imitating the function of another system to achieve the same results as the imitated system.  Usually, the emulation hardware comprises an array of FPGA’s (or special-type processors) and interconnection scheme among them.  About 1000 times faster than simulation. Simulation Hardware Accelerated Simulation Emulation Prototyping

Proprietary and Confidential Information 7Emulation  User logic design is mapped to emulation board with multiple FPGA’s or special processors.  The emulation board has external interconnection hardware that emulates the pins of final chip. & & > + Logic design Emulation hardware with multiple FPGAs Design mapping External pins

Proprietary and Confidential Information 8Emulation  Pros  Fast (500K cycles/sec)  Verification on real target system.  Cons  Setup time overhead to map RTL design into hardware is very high.  Many FPGA’s + resources for debugging  high cost  Circuit partitioning algorithm and interconnection architecture limit the usable gate count.

Proprietary and Confidential Information 9Prototyping  Pros  Higher (than emulation) clock rate (over 1M cycles/sec) due to specific design of prototyping board.  Components as well as the wiring can be customized for the corresponding application.  Can be carried along. (Hardware Emulation? Forget it!)  Cons  Not flexible for design change (Every new prototype requires a new board architecture. / Even a small change requires a new PCB.)  Special (more dedicated and customized) hardware architecture made to fit a specific application.

Proprietary and Confidential Information 10Survey HW emulators/accelerators don't use 52%62% homebrew with FPGAs 20%14% Cadence Palladium 11%14% Verisity Axis 7%5% Mentor IKOS/Celaro/Vsta 5%8% Other5% Does your company use HW emulators/accelerators like Cadence Quickturn Palladium, Mentor IKOS/Meta Systems, Verisity Axis, Tharas, Pittsburgh Simulations, EVE, or Aptix? ( 10/25/05 ) Resource :

Proprietary and Confidential Information 11Products  Simulation acceleration and in-circuit emulation in one system  natively supports Verilog, VHDL, System Verilog, SystemC, SystemC Verification Library, OVL, and PSL/Sugar assertions.  Dynamic probes/events allow interactive debug during run-time  Comprehensive verification with "live" data  Support for assertions in hardware with no performance degradation  High Capacity -  Provides up to 100x-10,000x RTL performance ( run four days of simulations over lunch at 100x).  Compiles up to 30 million gates per hour on a single workstation  Maximum capacity of 256 million gates  Supports up to 32 users in local or remote access  Highest throughput for HW/SW co-verification Cadence - PALLADIUM

Proprietary and Confidential Information 12Products Mentor - VStationTBX  Single verification environment for simulation and verification  High-performance verification accelerator for designs and testbenches  Built on standard languages: SystemC, SystemVerilog, C/C++  Behavioral Verilog testbench and memory compiler  VHDL and Verilog RTL debug  HDL acceleration 10x-100x faster than co-simulation  Accelerates the entire design process with advanced techniques, such as assertions and transactions

Proprietary and Confidential Information 13Products  Support SpeXtreme - direct compilation of e testbenches  Multi-Purpose –  Seamlessly integrates emulation, acceleration, and simulation into a single verification environment  Provides a configurable platform for early system-level integration  Emulates behavioral objects with reconfigurable behavioral processors  High Capacity -  Supports designs of up to 50M ASIC gates  Provides memory of up to 12 GB  Supports up to 4,656 IOs  Allows up to 12 simultaneous users  Easy-to-Use - Preserves native simulation debugging environment by supporting all HDL constructs, PLI calls, and testbenches Verisity - Xtreme Server

Proprietary and Confidential Information 14Products Verisity - SpeXtreme

Proprietary and Confidential Information 15 Other solution  Homebrew with FPGAs –  Partition design to number of FPGAs.  Use FPGA on-chip processors or configurable processors to drive testbench.  Pros  Low cost solution.  fast  Cons  Most embedded processor compilers supports C/C++ languages.  Requires two verification environments.  Need to establish debug connection between WS and FPGAs

Proprietary and Confidential Information 16Conclusions Hardware Acceleration/Emulation Simulation Acceleration Verification Acceleration Project Acceleration

Proprietary and Confidential Information 17 Thank you Alvarion, BreezeCOM, BreezeMAX, BreezeNET, BreezeMANAGE, BreezeACCESS, BreezeLINK, BreezePHONE, WALKair, WALKnet, MGW, eMGW are either registered trademarks, tradenames or service marks of Alvarion Ltd.