GOOD MORNING.

Slides:



Advertisements
Similar presentations
Digital System Design Subject Name : Digital System Design Course Code : IT-314.
Advertisements

Introduction To VHDL for Combinational Logic
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
CMPT150, Ch 3, Tariq Nuruddin, Fall 06, SFU 1 Ch3. Combinatorial Logic Design Modern digital design involves a number of techniques and tools essential.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
HDL-Based Digital Design Part I: Introduction to VHDL (I) Dr. Yingtao Jiang Department Electrical and Computer Engineering University of Nevada Las Vegas.
Digital System Design Verilog ® HDL Maziar Goudarzi.
ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #12) The slides included herein were taken from the materials.
AND Gate: A Logic circuit whose output is logic ‘1’ if and only if all of its inputs are logic ‘1’.
(1) Introduction © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
April 15, Synthesis of Signal Processing on FPGA Hongtao
Electronic Design Automation. Course Outline 1.Digital circuit design flow 2.Verilog Hardware Description Language 3.Logic Synthesis –Multilevel logic.
Introduction to Digital Design
Chap. 1 Overview of Digital Design with Verilog. 2 Overview of Digital Design with Verilog HDL Evolution of computer aided digital circuit design Emergence.
System Arch 2008 (Fire Tom Wada) /10/9 Field Programmable Gate Array.
VHDL TUTORIAL Preetha Thulasiraman ECE 223 Winter 2007.
Figure 5.1 Conversion from decimal to binary. Table 5.1 Numbers in different systems.
Hardware Design Environment Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
ENG6090 RCS1 ENG6090 Reconfigurable Computing Systems Hardware Description Languages Part 5: Modeling Structure.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
COE 405 Design and Modeling of Digital Systems
ASIC 121: Practical VHDL Digital Design for FPGAs Tutorial 1 September 27, 2006.
Modern VLSI Design 3e: Chapters 3 & 8Partly from 2002 Prentice Hall PTR week6-1 Lectures 16 Transfer Characteristics (Delay and Power) Feb. 10, 2003.
CWRU EECS 317 EECS 317 Computer Design LECTURE 1: The VHDL Adder Instructor: Francis G. Wolff Case Western Reserve University.
Basic Overview of VHDL Matthew Murach Slides Available at:
ECE 3110: Introduction to Digital Systems Introduction (Contd.)
Ch 5. Logic Design with MSI Components. Csci 2021 Srping02 2 VHDL The U.S. Department of Defense (DoD) supported the development of VHDL (VHSIC hardware.
Introducing the Nexys 2 Board CS 332 – Operating Systems 12/04/2011 by Otto Castell-R.
Introduction to VHDL Spring EENG 2920 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Design Lecture # 11 High Desecration Language- Based Design.
HARDWARE DESCRIPTION LANGUAGE (HDL). What is HDL? A type of programming language for sampling and modeling of electronic & logic circuit designs It can.
ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #11) The slides included herein were taken from the materials.
M. Balakrishnan Dept of Computer Science & Engg. I.I.T. Delhi
IMPLEMENTATION OF MIPS 64 WITH VERILOG HARDWARE DESIGN LANGUAGE BY PRAMOD MENON CET520 S’03.
M.Mohajjel. Digital Systems Advantages Ease of design Reproducibility of results Noise immunity Ease of Integration Disadvantages The real world is analog.
Digital System Projects
ECE 331 – Digital System Design Multi-bit Adder Circuits, Adder/Subtractor Circuit, and Multiplier Circuit (Lecture #12)
CS/EE 3700 : Fundamentals of Digital System Design Chris J. Myers Lecture 5: Arithmetic Circuits Chapter 5 (minus 5.3.4)
Digital Design Using VHDL and PLDs ECOM 4311 Digital System Design Chapter 1.
Apr. 3, 2000Systems Architecture I1 Introduction to VHDL (CS 570) Jeremy R. Johnson Wed. Nov. 8, 2000.
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
May 9, 2001Systems Architecture I1 Systems Architecture I (CS ) Lab 5: Introduction to VHDL Jeremy R. Johnson May 9, 2001.
Explain Half Adder and Full Adder with Truth Table.
EECE 320 L8: Combinational Logic design Principles 1Chehab, AUB, 2003 EECE 320 Digital Systems Design Lecture 8: Combinational Logic Design Principles.
An Overview CS341 Digital Logic and Computer Organization F2003.
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
An Introduction to V.H.D.L.. Need of a Compiler… main( ) { int x=10,y=20,z; z = x + y ; printf ( “ %d “, z ); getch( ) ; } What’s That ? Give me only.
EMT 351/4 DIGITAL IC DESIGN Week # 1 EDA & HDL.
Introduction to ASICs ASIC - Application Specific Integrated Circuit
Combinational logic circuit
Systems Architecture Lab: Introduction to VHDL
Digital System Design An Introduction to Verilog® HDL
Design Entry: Schematic Capture and VHDL
Behavioral Style Combinational Design with VHDL
IAY 0600 Digital Systems Design
VLSI Testing Lecture 5: Logic Simulation
VLSI Testing Lecture 5: Logic Simulation
Behavioral Style Combinational Design with VHDL
Hardware Descriptive Languages these notes are taken from Mano’s book
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
VHDL VHSIC Hardware Description Language VHSIC
Hardware Descriptive Languages these notes are taken from Mano’s book
Chapter 5 – Number Representation and Arithmetic Circuits
VHDL Introduction.
HIGH LEVEL SYNTHESIS.
1.Introduction to Advanced Digital Design (14 marks)
Presentation transcript:

GOOD MORNING

TECHNOLOGY THAT IS DRIVING THE WORLD VLSI AND ASIC DESIGN VERY LARGE SCALE INTEGRATED CIRCUIT AND APPLICATION SPECIFIC INTEGRATED CIRCUIT DESIGN © R.Lamsal

DEVELOPMENT IN INTEGRATED CIRCUIT TECHNOLOGY SMALL SCALE INTEGRATION SSI < 10 TRANSISTORS 10 TO 100 TRANSISTORS MEDIUM SCALE INTEGRATION MSI LARGE SCALE INTEGRATION LSI 100 TO 1,000 TRANSISTORS VERY LARGE SCALE INTEGRATION VLSI MORE THAN 1000 TO MILLION OF TRANSISTORS ULTRA VLSI © R.Lamsal

VLSI DESIGN CYCLE Design specification HDL CAPTURE RTL SIMULATION NETLIST GENERATION IMPLEMENTATION IN FPGA PLACEMENT,ROUTING POSTLAYOUT SIMULATION,MASKING PACKAGING © R.Lamsal

VLSI DESIGN DOMAINS ALGORITHM PROCESSORS DATA PATH; ALU,REGISTERS Behavioral Structural Physical 1 2 3 ALGORITHM PROCESSORS DATA PATH; ALU,REGISTERS RTL (HDL) LOGIC TRANSISTOR TRANSISTOR CELL. CHIP,MODULE BOARD,SYSTEM © R.Lamsal

HDL incorporates timing feature to represent real hardware. Introduction to HDL Hardware descriptive languages are used purely to describe the digital circuits in various level of abstraction ranging from gate to board and system level. HDL differs from conventional HLL in the sense they make use of concurrency however sequential option is also provided. HDL incorporates timing feature to represent real hardware. © R.Lamsal

AND GATE C<=A AND B after propagation delay; A C Some delay occurs To pass the input Signal at the output A C B C<=A AND B after propagation delay; © R.Lamsal

Transistor representation of And gate Delay occurs due to RC effect of the transistor. VDD C B A Acts as a capacitor © R.Lamsal

Placement TYPE1 Cell A Cell C Cell B Cell D Cell E Cell F © R.Lamsal

PLACEMENT TYPE2 Cell B Cell F Cell A Cell C Cell D Cell E © R.Lamsal

ROUTING Cell A Cell C Cell B Cell D Cell F Cell E © R.Lamsal

Nmos transistor gate source Drain © R.Lamsal

FABRICATION OF NMOS TRANSISTOR BASE SILICON SIO2 ADDED AT THE TOP PHOTOMASKING AND UV RAYS Polysilicon and diffusion To create base source and drain region Metaliziation © R.Lamsal

IMPORTANT PARAMETERS TO BE CONSIDERED WHILE DESIGINING THE CHIP. 1. POWER 2.SPEED 3.AREA © R.Lamsal

EXAMPLE FULL ADDER FULL ADDER A CARRYOUT B SUM CIN © R.Lamsal

TRUTH TABLE A B CIN SUM CARRYOUT Sum<= A XOR B XOR CIN ; 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 Sum<= A XOR B XOR CIN ; Carry<= (A AND B) OR ( A AND CIN) OR (B AND CIN) ; © R.Lamsal

VHDL DESCRIPTION library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity fulladder1 is Port ( a : in std_logic; b : in std_logic; cin : in std_logic; CARRYout : out std_logic; SUM : out std_logic); end fulladder1; architecture Behavioral of fulladder1 is begin SUM<=a xor b xor cin; CARRYOUT<=(a and b )or (a and cin) or (b and cin); end Behavioral; © R.Lamsal

SIMULATION WAVE © R.Lamsal

LIST TABLE © R.Lamsal

RTL SCHEMETIC © R.Lamsal

SYNTHESIS © R.Lamsal

© R.Lamsal