Power supply and substrate noise analysis; Reference tool experience with silicon validation Yoji Bando *1*4, Daisuke Kosaka *4, Goichi Yokomizo *2, Kunihiko.

Slides:



Advertisements
Similar presentations
Power Delivery Network Optimization for Low Power SoC
Advertisements

© 2014 Synopsys. All rights reserved.1 Wheres my glass slipper? TAU 2014 Nanda Gopal Director R&D, Characterization.
SAAB SPACE 1 The M2 ASIC A mixed analogue/digital ASIC for acquisition and control in data handling systems Olle Martinsson AMICSA, October 2-3, 2006.
1 Power Management for High- speed Digital Systems Tao Zhao Electrical and Computing Engineering University of Idaho.
Ch.3 Overview of Standard Cell Design
DAAD Project ISSNBS Niš, LOW POWER MICROCONTROLLER DESIGN BY USING UPF Borisav Jovanović, Milunka Damnjanović, Faculty of Electronic Engineering.
Graduate Computer Architecture I Lecture 15: Intro to Reconfigurable Devices.
FPGA structure and programming - Eli Kaminsky 1 FPGA structure and programming.
Chapter 5 Interconnect RLC Model n Efficient capacitance model Efficient inductance model Efficient inductance model RC and RLC circuit model generation.
The Design Process Outline Goal Reading Design Domain Design Flow
1 CS 140L Lecture 1 CK Cheng CSE Dept. UC San Diego.
1 High Speed Fully Integrated On-Chip DC/DC Power Converter By Prabal Upadhyaya Sponsor: National Aeronautics and Space Administration.
Lecture 24: Interconnect parasitics
Microwave Interference Effects on Device,
EMC Technology Roadmapping: A Long-Term Strategy Marcel van Doorn Philips Applied Technologies EM&C Competence Center Eindhoven, The Netherlands, March.
Digital Integrated Circuits© Prentice Hall 1995 Inverter THE INVERTERS.
Timepix2 power pulsing and future developments X. Llopart 17 th March 2011.
Design Tools, Flows and Library Aspects during the FE-I4 Implementation on Silicon Vladimir Zivkovic National Institute for Subatomic Physics Amsterdam,
General FPGA Architecture Field Programmable Gate Array.
2013 DAC Designer/User Track Presentation Inductor Design for Global Resonant Clock Distribution in a 28-nm CMOS Processor Visvesh Sathe 3, Padelis Papadopoulos.
IC Design methodology and Design styles J. Christiansen, CERN - EP/MIC
Signal Integrity Software, Inc.Electronic Module Description© SiSoft, 2008 Electrical Module Description EMD A new approach to describing packages and.
Modern VLSI Design 4e: Chapter 7 Copyright  2008 Wayne Wolf Topics Global interconnect. Power/ground routing. Clock routing. Floorplanning tips. Off-chip.
I N V E N T I V EI N V E N T I V E EDA360 - Is End-to-End Design a Riddle, a Rebus, or a Reality? April 6, 2011.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
Open Discussion of Design Flow Today’s task: Design an ASIC that will drive a TV cell phone Exercise objective: Importance of codesign.
THE INVERTERS. DIGITAL GATES Fundamental Parameters l Functionality l Reliability, Robustness l Area l Performance »Speed (delay) »Power Consumption »Energy.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
Interconnect Focus Center e¯e¯ e¯e¯ e¯e¯ e¯e¯ IWSM 2001Sam, Chandrakasan, and Boning – MIT Variation Issues in On-Chip Optical Clock Distribution S. L.
J. Christiansen, CERN - EP/MIC
Process Monitor/TID Characterization Valencia M. Joyner.
Continuum Simulation for Power Integrity Analysis Raj Nair Dec. 16, 2010.
1 Development of the input circuit for GOSSIP vertex detector in 0.13 μm CMOS technology. Vladimir Gromov, Ruud Kluit, Harry van der Graaf. NIKHEF, Amsterdam,
An accurate and efficient SSO/SSN simulation methodology for 45 nm LPDDR I/O interface Dr. Souvik Mukherjee, Dr. Rajen Murugan (Texas Instruments Inc.)
12/4/2002 The Ground Conundrum - Class 20 Assignment: Find and research papers on this subject, be prepared to defend research.
Impact of High Impedance Mid-Frequency Noise on Power Delivery Jennifer Hsiao-Ping Tsai.
Anasim  -fp Power integrity analyzer/optimizer Bottomline Benefits  -fp  -fp Raj Nair, Anasim Corporation Anasim Q
CHAPTER 8 Developing Hard Macros The topics are: Overview Hard macro design issues Hard macro design process Physical design for hard macros Block integration.
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
Distributed Computation: Circuit Simulation CK Cheng UC San Diego
Design and Analysis of A Novel 8T SRAM Cell December 14, 2010 Department of Microelectronic Engineering & Centre for Efficiency Oriented Languages University.
Modern VLSI Design 3e: Chapter 7 Copyright  1998, 2002 Prentice Hall PTR Topics n Power/ground routing. n Clock routing. n Floorplanning tips. n Off-chip.
Nanoscale Power Delivery & PI Overview  The Power Integrity (PI) Wall  PI Analysis  Management & Innovation Potential  Publications & Discussion Raj.
1 Decoupling Capacitors Requirements Intel - Microprocessor power levels in the past have increased exponentially, which has led to increased complexity.
Power Integrity Test and Verification CK Cheng UC San Diego 1.
Technical Report High Speed CMOS A/D Converter Circuit for Radio Frequency Signal Kyusun Choi Computer Science and Engineering Department The Pennsylvania.
Signal Integrity Software, Inc.Electronic Module Description© SiSoft, 2008 Electrical Module Description EMD A new approach to describing packages and.
1 Characterization and modeling of the supply network from an integrated circuit up to 12 GHz C. Labussière (1), G. Bouisse (1), J. W. Tao (2), E. Sicard.
Trends in IC technology and design J. Christiansen CERN - EP/MIC
Company Confidential | ©2009 Micron Technology, Inc. | 1 Micron Contact: Tim Hollis February 16 University of Utah Senior.
Power Line Communication for Hybrid Power/Signal Pin SOC Design CK Cheng CSE Dept, UC San Diego.
Written by Whitney J. Wadlow
전자파 연구실 1. Fundamentals. 전자파 연구실 1.1 Frequency and time Passive circuit elements is emphasized in high speed digital design : Wires, PCB, IC- package.
Simulation [Model]s in IBIS Bob Ross, Teraspeed Labs Future Editorial Meeting April 22, 2016 Copyright 2016 Teraspeed Labs 1.
Exploring the Rogue Wave Phenomenon in 3D Power Distribution Networks Xiang Hu 1, Peng Du 2, Chung-Kuan Cheng 2 1 ECE Dept., 2 CSE Dept. University of.
Full-Custom Design ….TYWu
1 EE 382M VLSI 1 EE 360R Computer-Aided Integrated Circuit Design Lab 1 Demo Fall 2011 Whitney J. Wadlow.
Copyright © 2009, Intel Corporation. All rights reserved. Power Gate Design Optimization and Analysis with Silicon Correlation Results Yong Lee-Kee, Intel.
MICROPROCESSOR DESIGN1 IR/Inductive Drop Introduction One component of every chip is the network of wires used to distribute power from the input power.
Piero Belforte, HDT 1999: PRESTO POWER by Alessandro Arnulfo.
High Speed Properties of Digital Gates, Copyright F. Canavero, R. Fantino Licensed to HDT - High Design Technology
Piero Belforte, HDT, July 2000: MERITA Methodology to Evaluate Radiation in Information Technology Application, methodologies and software solutions by Carla Giachino,
Introduction to ASICs ASIC - Application Specific Integrated Circuit
Library Characterization
Next Generation Full-chip Circuit Simulation and Analysis
Written by Whitney J. Wadlow
Top-level Schematics Digital Block Sign-off Digital Model of Chip
An Illustration of 0.1µm CMOS layout design on PC
ATMX150RHA Circuit Design Platform
Presentation transcript:

Power supply and substrate noise analysis; Reference tool experience with silicon validation Yoji Bando *1*4, Daisuke Kosaka *4, Goichi Yokomizo *2, Kunihiko Tsuboi *2, Ying Shiun Li *3, Shen Lin *3, Makoto Nagata *1*4 Kobe University *1, STARC *2, Apache Design Solutions, Inc. *3, A-R-Tec Corp. *4

DAC2009-UT# Power supply (PS) noise impacts on circuits - digital: timing variation, leakage increase - analog-MS: substrate crosstalk, substrate coupling Digital PS integrity technology, with enhancements of substrate coupling/noise analysis On-chip measurements connect EDA analysis with reality Motivation

DAC2009-UT# Multi-party collaboration for validated noise analysis Chip designer, IP provider, EDA tool provider Fully integrated power and substrate noise analysis A high capacity solver for a single large matrix unifying on-chip power grids and current sources, chip-level substrate meshes, and off-chip board networks On-chip noise measurements for silicon correlation Noise monitors with very many probing channels for thorough correlation of simulation and measurements Technical contribution

DAC2009-UT# Multi-party collaboration for confidence noise analysis Power library: Logic cell characterization Dynamic and static PS noise analysis Substrate network and noise analysis Verification planning and test chip design On-chip noise meas. and correlation Physical design and sign-off flow Integrity design tool developer (cf. Apache Design Solutions) Integrity design consultant (cf. A-R-Tec) User company (cf. IDM, design house)

DAC2009-UT# Key technology contributions #1 PSA and SNA Power library: Logic cell characterization Dynamic and static PS noise analysis Substrate network and noise analysis Verification planning and test chip design On-chip noise meas. and correlation Physical design and sign-off flow

DAC2009-UT# Key technology contributions #2 Simulation and Silicon correlation Power library: Logic cell characterization Integrated PS and substrate noise simulation Verification planning and test chip design On-chip noise measurements Physical design and sign-off flow

DAC2009-UT# Substrate noise probe array in left top area PS noise probe array for 32-bit  P 5.0 mm 32-bit  P core SRAM macros Noise evaluation chip overview Substrate noise probe array in right btm. area A 32-bit processor (SH-4*) with 210 kB memory capacity Densely distributed on-chip dynamic noise monitors 90-nm CMOS, 5LM, 1.0 V technology SH-4* Renesas technology

DAC2009-UT# Noise probing locations in  P core 32-bit uP core 2.5 mm 2.0 mm

DAC2009-UT# Noise probing locations on substrate P + GR (guard ring) deep Nwell GR P + probing points 1.6 mm 0.5 mm Substrate noise evaluation area (120 probing points in total) deep Nwell pocket

DAC2009-UT# On-chip noise monitor circuitry Off-chip n-SF p-SF Vout Digital Vdd Gnd Iout p-SF n-Gm p sub Vout Substrate (P+) noise probing array PS noise probing array On-chip

DAC2009-UT# center, Fclk = 50 MHz PS noise waveform measurements Vdd Gnd nsec Voltage (V)

DAC2009-UT# #1 #2#3#4#5#6#7#8#9 #10#11#12#13#14#15#16#17#18#19#20#21#22#23#24#25#26#27#28#29#30#31#32#33#34#35#36#37#38#39#40 V (mV) uP Vdd Static drop Dynamic Vpp uP Gnd Static drop Dynamic Vpp # test code with higher level of internal logic activity PS noise intensity: code dependence Vnominal Static drop Dynamic Vpp Voltage

DAC2009-UT# Unified matrix of chip-level noise analysis Vdd/Gnd grids PS current sources Vertical impurity profile Off-chip networks Silicon substrate model Resistive as well as capacitive elements involved (e.g. pwell, nwell, deep well)

DAC2009-UT# Full chip PS and substrate noise analysis: flow overview SoC Layout LEF/DEF Macro Geometry GDSII Macro Netlist spice netlist Cell Library.lib, spice Totem_SE Extraction, Simulation Pkg Model RLC or S-Para Debugging, What-if and FAO RedHawk-EV Substrate Model Digital Technology file

DAC2009-UT# Noise source modeling Apache Power Library (APL) - SPICE simulation: I(t) LUT for in/out condition, load caps - Post-layout extraction logic cell level: Cesc, Resr Standard cell library (LEF/DEF) Vss wiring Vdd wiring well network C esc I(t) R esr C pg Cwell

DAC2009-UT# Substrate network modeling

DAC2009-UT# Off-chip network modeling L C Off-chip power delivery network (PDN) - FR4 board, package, bonding wires – macroscopically seen by a chip - Lumped LCR extraction between Vdd and Gnd terminals - Considerable impacts on noise components from DC to a few 100 MHz

DAC2009-UT# Unified matrix for quality noise analysis Substrate coupling analysis enhances accuracy of noise analysis in digital as well as mixed-signal circuits. Ground noise in SH-4 50 MHz, comparing simulation with on-chip measurements SH-4 core only w/ off-chip model V gnd-pp (mV) Probe point 80 meas. w/ off-chip and substrate models 70 w/o off-chip model w/o substrate model

DAC2009-UT# Dynamic PS noise waveforms (V) (V) (ns) (ns) Vdd Fck = 50 MHz Gnd Fck = 50 MHz Measured Simulated

DAC2009-UT# Gnd/Psub noise: chip-wide Vpp map Simulated drop of uP Fck = 50 MHz Measured, left top Measured, right bottom 40 (mV) y(  m) x(  m) y(  m) x(  m) 0 30 (mV) yLyR xU xL

DAC2009-UT# Substrate noise (Vpp) trend: yL axis Distance (mm) uP GndPsub Vpp (mV) sim. y-left (yL) meas yLyR xU xL Fck = 50 MHz

DAC2009-UT# Substrate noise (Vpp) trend: xL axis yLyR xU xL uP GndPsub Distance (mm) Vpp (mV) sim. x-lower (xL) meas. Fck = 50 MHz

DAC2009-UT# Cost of Simulation Chip to simulate Mesh size CPU time Memory usage Machine spec. SH-4 core: 670k gates, SRAM cells: 11.2M trs., # of I/O: 208 pins, chip area: 5.0 mm x 5.0 mm 750 k extraction 1.0 h, simulation 1.5 h (for 120 nsec) extraction 6.6 GB, simulation 3.5 GB 2-core Opteron x 2.8GHz, 64 GB memory

DAC2009-UT# Summary Unification of on-chip power grids, substrate, and off-chip network realizes dynamic PS and substrate noise simulation with high accuracy Comprehensive on-chip noise measurements establish reference experience of silicon validation Close correlation of simulation and measurements achieves designers’ confidence of noise analysis