Power-Aware SoC Test Optimization through Dynamic Voltage and Frequency Scaling Vijay Sheshadri, Vishwani D. Agrawal, Prathima Agrawal Dept. of Electrical.

Slides:



Advertisements
Similar presentations
Energy-efficient Task Scheduling in Heterogeneous Environment 2013/10/25.
Advertisements

10/28/2009VLSI Design & Test Seminar1 Diagnostic Tests and Full- Response Fault Dictionary Vishwani D. Agrawal ECE Dept., Auburn University Auburn, AL.
Leakage and Dynamic Glitch Power Minimization Using MIP for V th Assignment and Path Balancing Yuanlin Lu and Vishwani D. Agrawal Auburn University ECE.
Specification Test Minimization for Given Defect Level Suraj Sindia Intel Corporation, Hillsboro, OR 97124, USA Vishwani D. Agrawal.
Praveen Venkataramani Suraj Sindia Vishwani D. Agrawal FINDING BEST VOLTAGE AND FREQUENCY TO SHORTEN POWER CONSTRAINED TEST TIME 4/29/ ST IEEE VLSI.
Compaction of Diagnostic Test Set for a Full-Response Dictionary Mohammed Ashfaq Shukoor Vishwani D. Agrawal 18th IEEE North Atlantic Test Workshop, 2009.
Yuanlin Lu Intel Corporation, Folsom, CA Vishwani D. Agrawal
Minimum Dynamic Power CMOS Circuit Design by a Reduced Constraint Set Linear Program Tezaswi Raja Vishwani Agrawal Michael L. Bushnell Rutgers University,
CMOS Circuit Design for Minimum Dynamic Power and Highest Speed Tezaswi Raja, Dept. of ECE, Rutgers University Vishwani D. Agrawal, Dept. of ECE, Auburn.
Polynomial-Time Algorithms for Designing Dual-Voltage Energy Efficient Circuits Master’s Thesis Defense Mridula Allani Advisor : Dr. Vishwani D. Agrawal.
Dual Voltage Design for Minimum Energy Using Gate Slack Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University Auburn, AL 36849, USA IEEE ICIT-SSST.
True Minimum Energy Design Using Dual Below-Threshold Supply Voltages Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University Auburn, AL 36849,
Energy Source Lifetime Optimization for a Digital System through Power Management Department of Electrical and Computer Engineering Auburn University,
Multiobjective VLSI Cell Placement Using Distributed Simulated Evolution Algorithm Sadiq M. Sait, Mustafa I. Ali, Ali Zaidi.
Aug 23, ‘021Low-Power Design Minimum Dynamic Power Design of CMOS Circuits by Linear Program Using Reduced Constraint Set Vishwani D. Agrawal Agere Systems,
11/17/05ELEC / Lecture 201 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
May 14, ISVLSI 09 Algorithms for Estimating Number of Glitches and Dynamic Power in CMOS Circuits with Delay Variations Jins Davis Alexander Vishwani.
Dec. 19, 2005ATS05: Agrawal and Doshi1 Concurrent Test Generation Auburn University, Department of Electrical and Computer Engineering Auburn, AL 36849,
Spring 07, Feb 20 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Reducing Power through Multicore Parallelism Vishwani.
Priyadharshini Shanmugasundaram Vishwani D. Agrawal DYNAMIC SCAN CLOCK CONTROL FOR TEST TIME REDUCTION MAINTAINING.
A Two Phase Approach for Minimal Diagnostic Test Set Generation Mohammed Ashfaq Shukoor Vishwani D. Agrawal 14th IEEE European Test Symposium Seville,
Nov. 8, 001Low-Power Design Digital Circuit Design for Minimum Transient Energy Vishwani D. Agrawal Circuits and Systems Research Lab, Agere Systems (Bell.
9/20/05ELEC / Lecture 81 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
5/7/2007VTS'071 Delay Test Quality Evaluation Using Bounded Gate Delays Soumitra Bose Intel Corporation, Design Technology, Folsom, CA Vishwani D.
March 16, 2009SSST'091 Computing Bounds on Dynamic Power Using Fast Zero-Delay Logic Simulation Jins Davis Alexander Vishwani D. Agrawal Department of.
Fall 2006, Nov. 30 ELEC / Lecture 12 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Test Power Vishwani D.
10/25/2007 ITC-07 Paper Delay Fault Simulation with Bounded Gate Delay Model Soumitra Bose Design Technology, Intel Corp. Folsom, CA Hillary.
Institute of Digital and Computer Systems 1 Fabio Garzia / Finding Peak Performance in a Process23/06/2015 Chapter 5 Finding Peak Performance in a Process.
May 28, 2003Minimum Dynamic Power CMOS1 Minimum Dynamic Power CMOS Circuits Vishwani D. Agrawal Rutgers University, Dept. of ECE Piscataway, NJ 08854
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 14 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Power Aware Microprocessors Vishwani.
Jan 6-10th, 2007VLSI Design A Reduced Complexity Algorithm for Minimizing N-Detect Tests Kalyana R. Kantipudi Vishwani D. Agrawal Department of Electrical.
Jan. 2007VLSI Design '071 Statistical Leakage and Timing Optimization for Submicron Process Variation Yuanlin Lu and Vishwani D. Agrawal ECE Dept. Auburn.
System-Wide Energy Minimization for Real-Time Tasks: Lower Bound and Approximation Xiliang Zhong and Cheng-Zhong Xu Dept. of Electrical & Computer Engg.
Jan. 6, 2006VLSI Design '061 On the Size and Generation of Minimal N-Detection Tests Kalyana R. Kantipudi Vishwani D. Agrawal Department of Electrical.
Spring 07, Feb 22 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Power Aware Microprocessors Vishwani D. Agrawal.
Thermal-Aware SoC Test Scheduling with Test Set Partitioning and Interleaving Zhiyuan He 1, Zebo Peng 1, Petru Eles 1 Paul Rosinger 2, Bashir M. Al-Hashimi.
Architectural Power Management for High Leakage Technologies Department of Electrical and Computer Engineering Auburn University, Auburn, AL /15/2011.
Diagnostic and Detection Fault Collapsing for Multiple Output Circuits Raja K. K. R. Sandireddy and Vishwani D. Agrawal Dept. Of Electrical and Computer.
By Praveen Venkataramani Vishwani D. Agrawal TEST PROGRAMMING FOR POWER CONSTRAINED DEVICES 5/9/201322ND IEEE NORTH ATLANTIC TEST WORKSHOP 1.
By Praveen Venkataramani Committee Prof. Vishwani D. Agrawal (Advisor) Prof. Adit D. Singh Prof. Fa Foster Dai REDUCING ATE TEST TIME BY VOLTAGE AND FREQUENCY.
Finding Optimum Clock Frequencies for Aperiodic Test Master’s Thesis Defense Sindhu Gunasekar Dept. of ECE, Auburn University Advisory Committee: Dr. Vishwani.
Chapter 6 CPU SCHEDULING.
Determining the Optimal Process Technology for Performance- Constrained Circuits Michael Boyer & Sudeep Ghosh ECE 563: Introduction to VLSI December 5.
Power Reduction for FPGA using Multiple Vdd/Vth
SoC TAM Design to Minimize Test Application Time Advisor Dr. Vishwani D. Agrawal Committee Members Dr. Victor P. Nelson, Dr. Adit D. Singh Apr 9, 2015.
An Efficient Algorithm for Dual-Voltage Design Without Need for Level-Conversion SSST 2012 Mridula Allani Intel Corporation, Austin, TX (Formerly.
Jia Yao and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University Auburn, AL 36830, USA Dual-Threshold Design of Sub-Threshold.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
SoC TAM Design to Minimize Test Application Time Huiting Zhang Vishwani D. Agrawal May 12, North Atlantic Test Workshop.
Optimal Selection of ATE Frequencies for Test Time Reduction Using Aperiodic Clock Sindhu Gunasekar Vishwani D. Agrawal.
Using Cycle Efficiency as a System Designer Metric to Characterize an Embedded DSP and Compare Hard Core vs. Soft Core Advisor Dr. Vishwani D. Agrawal.
The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization Jia Wang, Shiyan Hu Department of Electrical and Computer Engineering.
PRAVEEN VENKATARAMANI VISHWANI D. AGRAWAL Auburn University, Dept. of ECE Auburn, AL 36849, USA 26 th International.
26 th International Conference on VLSI January 2013 Pune,India Optimum Test Schedule for SoC with Specified Clock Frequencies and Supply Voltages Vijay.
Copyright Agrawal, 2007ELEC5270/6270 Spring 11, Lecture 141 ELEC 5270/6270 Spring 2011 Low-Power Design of Electronic Circuits Power Aware Microprocessors.
A Test Time Theorem and Its Applications Praveen Venkataraman i Suraj Sindia Vishwani D. Agrawal
An Energy-efficient Task Scheduler for Multi-core Platforms with per-core DVFS Based on Task Characteristics Ching-Chi Lin Institute of Information Science,
VLSI Design & Embedded Systems Conference January 2015 Bengaluru, India Few Good Frequencies for Power-Constrained Test Sindhu Gunasekar and Vishwani D.
DPPM for Analog and RF Circuits Vishwani D. Agrawal Auburn University, Auburn, AL 36849, USA Suraj Sindia Intel Corporation, Hillsboro,
CprE 458/558: Real-Time Systems (G. Manimaran)1 CprE 458/558: Real-Time Systems Energy-aware QoS packet scheduling.
Department of Electrical and Computer Engineering University of Wisconsin - Madison Optimizing Total Power of Many-core Processors Considering Voltage.
11/15/05ELEC / Lecture 191 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Power Problems in VLSI Circuit Testing Keynote Talk Vishwani D. Agrawal James J. Danaher Professor Electrical and Computer Engineering Auburn University,
Chapter 4 CPU Scheduling. 2 Basic Concepts Scheduling Criteria Scheduling Algorithms Multiple-Processor Scheduling Real-Time Scheduling Algorithm Evaluation.
CS203 – Advanced Computer Architecture
Characterizing Processors for Energy and Performance Management Harshit Goyal and Vishwani D. Agrawal Department of Electrical and Computer Engineering,
Power-Aware System-On-Chip Test Optimization
Reduced Voltage Test Can be Faster!
CSV881: Low-Power Design Multicore Design for Low Power
Pre-Computed Asynchronous Scan Invited Talk
Presentation transcript:

Power-Aware SoC Test Optimization through Dynamic Voltage and Frequency Scaling Vijay Sheshadri, Vishwani D. Agrawal, Prathima Agrawal Dept. of Electrical and Computer Engineering Auburn University, AL 36849, USA

Outline Introduction Problem Statement Heuristic Algorithms –Preemptive test scheduling –Non preemptive test scheduling Results Conclusion 10/7/20132VLSI-SoC 2013

Introduction Technology scaling has led to more cores and increased complexity in SoC devices. –This has resulted in large test data volume, increased power consumption and long test times. –Reducing test time while controlling power under specification is a major objective in SoC testing. 10/7/2013VLSI-SoC 20133

Introduction Typical approach: Test multiple cores simultaneously, but that causes –High power consumption; power consumption in test mode can be higher than system mode! Therefore, –Power aware test strategies needed for efficient power management. 10/7/2013VLSI-SoC 20134

Introduction Testing SoC – schedule core tests such that: –No resource conflict among tests that must share available resources. –Power consumption does not exceed given power budget. Test schedule can be optimized for better power and resource management and a quicker overall test time. 10/7/2013VLSI-SoC 20135

Problem Statement Given an SoC with N core tests and a peak power budget, find a test schedule to: –Test all cores –Reduce overall test time –Conform to SoC test power budget Main idea introduced: Optimize test time by controlling voltage and frequency. 10/7/20136VLSI-SoC 2013

Simple Test Scheduling Session-based test scheduling : –Tests grouped into Test sessions. 10/7/2013VLSI-SoC Each block represents a core-test, with test time, t i and test power, p i

A Variation in Test Scheduling Sessionless testing: –New tests scheduled immediately after completion of old ones. –No session boundaries. –Reduced test time. 10/7/2013VLSI-SoC Session-based test scheduling T3 T2 T5 Time Power Power limit Session 1Session 2 T4 T1 T3 T2 T5 Time Power Power limit T4 T1 Sessionless test scheduling

Another Variation Sessionless testing further divided into: –Preemptive* – Test can be interrupted and restarted anytime. –Can reduce test time, but –May increase test complexity –Non Preemptive – Tests are not interrupted. 10/7/2013VLSI-SoC * V. Iyengar and K. Chakrabarty, ”Precedence-Based, Preemptive and Power Constrained Test Scheduling for System-on-Chip,” Proc. VTS’02, pp Test ‘X’ Test time = t Test ‘X1’ Test ‘X2’ Test time = t1t2 (t1 + t2 = t)

Core Frequency and Voltage A core test has two constraints: –Power Constraint: –Structure constraint: 10/7/ (Alpha power law * ) * T. Sakurai and A. R. Newton, “Alpha-Power Law MOSFET Model and its Applications to CMOS Inverter Delay and Other Formulas,” IEEE Journal of Solid-State Circuits, vol. 25, no. 2, pp. 584– 594, Apr VLSI-SoC 2013

Optimum V DD for a Core P. Venkataramani, S. Sindia and V. D. Agrawal, “A Test Time Theorem and Its Applications,” Proc. 14 th IEEE Latin-American Test Workshop, Apr /7/201311VLSI-SoC 2013

Influence of V DD on Test time Power constrained test: Structure constrained test: An optimal V DD can balance the two constraints. 10/7/201312VLSI-SoC 2013

This work: Objective: To find the optimum VDD and frequency at which the test time is minimum. Heuristic method for sessionless test scheduling. –Both preemptive and non preemptive schemes possible. Dynamic voltage and frequency scaling to lower test time. 10/7/2013VLSI-SoC

Heuristic Algorithms Exact methods such as ILP are NP-hard* –Problem size grows quickly with number of cores –Rapid increase in CPU time Heuristic methods offer better alternative –Often based on greedy approach –Capable of near-optimal solutions –Less CPU time than ILP method for larger SoC 10/7/ * K. Chakrabarty, “Test Scheduling for Core-Based Systems,” Proc. IEEE/ACM ICCAD, Nov. 1999, pp.391–394. VLSI-SoC 2013

Heuristic for Sessionless Testing 10/7/2013VLSI-SoC

Heuristic for Sessionless Testing 10/7/2013VLSI-SoC

Heuristic for Sessionless Testing 10/7/2013VLSI-SoC

Heuristic for Sessionless Testing 10/7/2013VLSI-SoC Reference case, for comparison, obtained from Best-Fit Decreasing algorithm. –This is also a sessionless test scheduling algorithm. –Voltage and clock frequency fixed at nominal values. –Algorithm description on the next slide.

Heuristic for Sessionless Testing 10/7/2013VLSI-SoC

Experiments on ITC02 Benchmarks* 10/7/2013VLSI-SoC Initial data: –For SoC: Maximum overall test power P max (watts) for some nominal test voltage and frequency –For each core: Test power (watts) and test time (in arbitrary units) if tested at nominal voltage and frequency, f i maximum frequency factor allowed by critical path delay at nominal voltage, and maximum power (assumed P max in these results) Stopping criteria: No improvement on previous best solution for 10,000 consecutive runs. Simulations performed on a Dell workstation with a 3.4 GHz Intel Pentium processor and 2GB memory. * ITC 2002 SOC Benchmarking Initiative: Power profile for benchmarks from: S. K. Millican and K. K. Saluja (

Results: Reference Case 10/7/2013VLSI-SoC –Sessionless test time obtained by Best-Fit Decreasing algorithm. Voltage and frequency fixed at nominal values. BenchmarkNo. of coresP max Test time a mW h mW d mW13301 g mW18084 p mW t mW p mW139008

Preemptive DVFS Scheduling 10/7/2013VLSI-SoC Benchmark Test time {Ref. case} Test time {Preemptive} % ReductionCPU time a sec h sec d sec g sec p sec t sec p sec

Non-Preemptive DVFS Scheduling 10/7/2013VLSI-SoC Benchmark Test time {Ref. case} Test time {Non-preemptive} % ReductionCPU time a sec h sec d sec g sec p sec t sec p sec

Test Time Reduction 10/7/2013VLSI-SoC Preemptive vs Non-preemptive –Test time reduction with respect to reference case

Algorithm Complexity 10/7/ Preemptive vs. Non-preemptive –Runtime of algorithm VLSI-SoC 2013

Conclusion Heuristic methods for sessionless test scheduling presented. –Employs dynamic voltage and frequency scaling to reduce test time. –45-60% reduction in test time compared to session-based testing. –Preemptive and non-preemptive strategies yield almost identical solutions. Preemptive strategy introduces extra complexity, leading to longer CPU times 10/7/2013VLSI-SoC