Carbon Nanotube Technology An Alternative in Future SRAM memories UPC CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011.

Slides:



Advertisements
Similar presentations
Beyond CMOS CTSG Dec. 15, 2009 Work in Progress: Not for Distribution Beyond CMOS CTSG IRC Meeting December 15, 2009 DRAFT.
Advertisements

Barcelona Forum on Ph.D. Research in Communications, Electronics and Signal Processing 21st October 2010 Soft Errors Hardening Techniques in Nanometer.
Department of Electrical and Computer Engineering
Introduction to the TRAMS project objectives and results in Y1 Antonio Rubio, Ramon Canal UPC, Project coordinator CASTNESS’11 WORKSHOP ON TERACOMP FET.
Carbon nanotube field effect transistors (CNT-FETs) have displayed exceptional electrical properties superior to the traditional MOSFET. Most of these.
Chun-Chieh Lu Carbon-based devices on flexible substrate 1.
CONTENT I. Introduction II. DEP force for CNTs Implementation III. Experimental results IV. Conclusions.
Lateral Asymmetric Channel (LAC) Transistors
TECHNIQUES OF SYNTHESIZING CARBON NANOTUBE FETS FOR INTEGRATED CIRCUITS GAO, Feng S.I.D
Carbon Nanotube Transistors
Optimization of Carbon Nanotube Field-Effect Transistors (FETs) Alexandra Ford NSE 203/EE 235 Class Presentation March 5, 2007.
Xlab.me.berkeley.edu Xlab Confidential – Internal Only EE235 Carbon Nanotube FET Volker Sorger.
Carbon Nanotube Memory Yong Tang 04/26/2005 EE 666 Advanced Solid State Device.
Outline Introduction – “Is there a limit?”
Array-Based Architecture for FET-Based, Nanoscale Electronics André DeHon 2003 Presented By Mahmoud Ben Naser.
Power Semiconductor Devices
Chap. 5 Field-effect transistors (FET) Importance for LSI/VLSI –Low fabrication cost –Small size –Low power consumption Applications –Microprocessors –Memories.
Si and Ge NW FETs, NiSi-Si-NiSI conductor hetero-structures and manufacturing steps Csaba Andras Moritz Associate Professor University of Massachusetts,
Introduction to CMOS VLSI Design Nonideal Transistors.
Emerging Nanotechnology Devices
Gaxela N, Manaetja K.P, Mulaudzi S, Senosi R Supervisor: Dr V.L.Katkof.
Transistors Three-terminal devices with three doped silicon regions and two P-N junctions versus a diode with two doped regions and one P-N junction Two.
Field-Effect Transistor
D.L. Pulfrey Department of Electrical and Computer Engineering University of British Columbia Vancouver, B.C. V6T1Z4, Canada Carbon.
Effects of Variation on Emerging Devices for Use in SRAM
LOGO What a rule surfactants play in synthesis CNTs array Shuchen Zhang, Yanhe Zhang
The wondrous world of carbon nanotubes Final Presentation IFP 2 February 26, 2003.
*F. Adamu-Lema, G. Roy, A. R. Brown, A. Asenov and S. Roy
Department of Electrical, Computer and Information Technology Islamic Azad University of Qazvin Subject: presentation an article Student:Framarz aghaei.
TEMPLATE DESIGN © Introduction New Graphene-based Logic Gates with Lower Power Consumption Kaisar Kussinov and Ainur.
ICECS, Athens, December /18 From nanoscale technology scenarios to compact device models for ambipolar devices Sébastien Frégonèse, Cristell Maneux,
G.K.BHARAD INSTITUTE OF ENGINEERING DIVISION :D (C.E.) Roll Number :67 SUBJECT :PHYSICS SUBJECT CODE : Presentation By: Kartavya Parmar.
Norhayati Soin 06 KEEE 4426 WEEK 7/1 6/02/2006 CHAPTER 2 WEEK 7 CHAPTER 2 MOSFETS I-V CHARACTERISTICS CHAPTER 2.
NRAM.
An Introduction to Carbon Nanotubes
Atomic Structural Response to External Strain for AGNRs Wenfu Liao & Guanghui Zhou KITPC Program—Molecular Junctions Supported by NSFC under Grant No.
Figure 9.1. Use of silicon oxide as a masking layer during diffusion of dopants.
Background about Carbon Nanotubes CAR Seminar 5 November 2010 Meg Noah.
ECE 7502 Project Final Presentation
Robustness of SRAM Memories Universitat Politecnica de Catalunya (UPC) Barcelona Spain Ioana Vatajelu CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome,
S. E. Thompson EEL 6935 Today’s Subject Continue on some basics on single-wall CNT---- chiral length, angle and band gap; Other properties of CNT; Device.
PROPERTIES OF CARBON NANOTUBES
Presented By: RENJITHKUMAR TKMCE KOLLAM. INTRODUCTION Electronics with out silicon is unbelievable, but it will come true with evolution of diamond or.
Contacting single bundles of carbon nanotubes with alternating electric fields Marcella De Carlo Danilo Zampetti.
Field Effect Transistor. What is FET FET is abbreviation of Field Effect Transistor. This is a transistor in which current is controlled by voltage only.
1 Recent studies on a single-walled carbon nanotube transistor Reference : (1) Mixing at 50GHz using a single-walled carbon nanotube transistor, S.Rosenblatt,
Carbon nanotube is a magic material. The unique structure brings it amazing characteristics. Lots of people believe that the usage of carbon nanotube will.
Carbon Nanotubes Related Devices and Applications
VLSI: A Look in the Past, Present and Future Basic building block is the transistor. –Bipolar Junction Transistor (BJT), reliable, less noisy and more.
1 Automated Design of Misaligned-Carbon-Nanotube-Immune Circuits Nishant Patil Jie Deng H.-S. Philip Wong Subhasish Mitra Departments of Electrical Engineering.
Performance Predictions for Carbon Nanotube Field-Effect Transistors
EE201C : Stochastic Modeling of FinFET LER and Circuits Optimization based on Stochastic Modeling Shaodi Wang
Nano and Giga Challenges in Microelectronics Symposium and Summer School Research and Development Opportunities Cracow Sep , 2004 Afternoon 4: Carbonanotubes.
Carbon Nanotubes.
Carbon Nanotubes and Its Devices and Applications
Submitted To: Submitted By: Seminar On Carbon Nanotubes.
DISSEMINATION ACTIONS Dissemination actions Catness’12 (4 presentations) Mixdes Special session (5 papers) IOLTS 2012 Special session Invited conference,
Field Effect Transistors
CARBON NANOTUBES (A SOLUTION FOR IC INTERCONNECT) By G. Abhilash 10H61D5720.
Outline Introduction Module work on crystal re-growth velocity study
A Seminar presentation on
Graphene Transistors for Microwave Applications and Beyond Mahesh Soni1, Satinder Kumar Sharma1, Ajay Soni2 1School.
DIAMOND CHIP PRESENTED BY : A.RAKESH KIRAN
Metal Semiconductor Field Effect Transistors
Device Structure & Simulation
Power Dissipation in Nanoelectronics
From Silicon to Microelectronics Yahya Lakys EE & CE 200 Fall 2014
Analytical Delay and Variation Modeling for Subthreshold Circuits
Inter-Laboratory Comparison Exercise CPC CALIBRATION
Length-Dependent Dielectric Polarization in Metallic
Presentation transcript:

Carbon Nanotube Technology An Alternative in Future SRAM memories UPC CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011

Introduction CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011 OBJECTIVE: to evaluate the variability in Carbon nanotube Field Effect Transistor (CNFET) as well as its real capability to be a promising alternative to Si-CMOS technology. 1.Impact of carbon nanotube (CNT) diameter variations and the presence of metallic CNTs in the transistor (device level). 2. Comparison between Si-CMOS and CNFET 6T SRAM cells (circuit level). In Si-bulk CMOS technology the variability of the device parameters is a key drawback and it may be a limiting factor for further miniaturizing nodes.

CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011 Carbon Nanotubes (CNTs) Graphene Carbon nanotube Metallic Semiconducting DiameterBehaviour Rest of Chiral vector angle of the atom arrangement along the tube Device level

CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011 Carbon Nanotube Field Effect Transistors (CNFETs) An “Ideal” MOSFET-like CNFET is formed by 1 or more semiconducting CNTs perfectly aligned and well-positioned whose section under the gate is intrinsic and the s/d extension regions are n/p doped. Promising candidates to replace silicon CMOS due to its high performance There are some imperfections inherent to CNT synthesis and CNFET manufacturing process that may eclipse the expectations Device level

SOURCES OF VARIATION CNT growth process CNFET manufacturing process Percentage of m-CNTs Diameter variations S/D doping variations Mispositioned and misaligned CNTs NO control of chirality CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011 Metallic CNTs Semiconducting CNTs Device level

CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011 CNFET device model [1] [1] J. Deng and H.-S. Wong, “A compact spice model for carbon-nanotube field-effect transistors including nonidealities and its application part II: Full device model and circuit performance benchmarking,” Electron Devices, IEEE Transactions on, vol. 54, no. 12, pp. 3195–3205, Device level

CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011 Monte Carlo experiment Example of I DS − V DS distribution for 50 CNFET samples. Device level

CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011 STD (σ) of V TH and K Percentage of variation (100x3σ/μ) Device level

CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011 Variability analysis and performance in CMOS and CNFET SRAM 6T cells Circuit level

CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011 Circuit level CNFET SRAM cell versus Si-MOSFET SRAM cell (nominal comparison)

CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011 Circuit level CNFET SRAM cell versus Si-MOSFET SRAM cell (variability comparison)

CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011 Circuit level CNFET SRAM cell versus Si-MOSFET SRAM cell (variability comparison)

CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011 Circuit level CNFET SRAM cell versus Si-MOSFET SRAM cell (variability comparison)

CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011 Device level Conclusions CNFETs are promising candidates to replace Si-MOSFETs due to their high current driving capability, tolerance to temperature and low leakage currents. Manufacturing variability, that is one of the key limiting factors in silicon-MOS technology, has been investigated for such CNFET devices. Considering a range of metallic tubes from 33% (current growth methods) to 0% (perfection) and a realistic distribution of diameters, it has been shown that the variability of both K factor and V TH is lower than CMOS for transistors with just 8 nanotubes, and much better for 12 tubes. In a future scenario with a narrower distribution of CNT diameters, variation for both parameters could reach levels from 15% to 25%, fact that would allow a design procedure without the stress caused by variability in current conventional technology.

CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011 Circuit level Conclusions CNFETs can be also considered as a potential alternative to CMOS in memory systems. CNT technology presents better performance than CMOS technologies. However the implementation maturity of CNFET is still pending of several years of development. Variability analysis shows as a promising prospect, that even for todays CNFETs performance, its variability is comparable with that of Si-MOS technology in a scenario which we have called ”moderated”. Therefore, improvements in the control of chirality, the variability of CNFETs could be lower than in that moderated scenario.

Thanks for your attention! CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011

Carbon Nanotubes (CNTs) Graphene Carbon nanotube Diameter & V TH Device level

CASTNESS’11 WORKSHOP ON TERACOMP FET Projects, Rome, January 17 th -18 th 2011 Mean (μ) of V TH and K Mean of V th as T m Mean of V th as N Mean of K as T m Mean of K as N Device level