Development process of RHBD cell libraries for advanced SOCs

Slides:



Advertisements
Similar presentations
SAAB SPACE 1 The M2 ASIC A mixed analogue/digital ASIC for acquisition and control in data handling systems Olle Martinsson AMICSA, October 2-3, 2006.
Advertisements

C3 / MAPLD2004Lake1 Radiation Effects on the Aeroflex RadHard Eclipse FPGA Ronald Lake Aeroflex Colorado Springs.
April 30, Cost efficient soft-error protection for ASICs Tuvia Liran; Ramon Chips Ltd.
NxN pixel demonstrator. Time to Digital Converter (2) Tapped delay line –128 cells, 100ps Two hit registers –One per both leading and trailing edge 7.
Accelerating Productization. Functional Metrology TM Challenges of Semiconductor Productization Leading IDM’s Solution Novel Solution -> In-product Functional.
FPGA Design Using the LEON3 Fault Tolerant Processor Core
Die-Hard SRAM Design Using Per-Column Timing Tracking
VLSI Digital System Design
GallagherP188/MAPLD20041 Accelerating DSP Algorithms Using FPGAs Sean Gallagher DSP Specialist Xilinx Inc.
Motivation Yang You 1, Jinghong Chen 1, Datao Gong 2, Deping Huang 1, Tiankuan Liu 2, Jingbo Ye 2 1 Department of Electrical Engineering, Southern Methodist.
DEEPAK.P MICROPROCESSORS AND APPLICATIONS Mr. DEEPAK P. Associate Professor ECE Department SNGCE 1.
Dept. of Communications and Tokyo Institute of Technology
MAPLD 2005 / E134 Rockett A 0.15  M Radiation-Hardened Antifuse Field Programmable Gate Array Technology The RH AX250-S production installation effort.
Fully TID-Hardened Gigabit Ethernet Transponder Based On A Proprietary Library of SCL Cells Presentation By: Jeb Binkley Vladimir.
Power Reduction for FPGA using Multiple Vdd/Vth
Are classical design flows suitable below 0.18  ? ISPD 2001 NEC Electronics Inc. WR0999.ppt-1 Wolfgang Roethig Senior Engineering Manager EDA R&D Group.
DEPFET Electronics Ivan Peric, Mannheim University.
Platform ASICs Reliability Bob Madge Miguel Vilchis LSI Logic, Milpitas, CA Vish Bhide.
DLS Digital Controller Tony Dobbing Head of Power Supplies Group.
Analog to Digital conversion. Introduction  The process of converting an analog signal into an equivalent digital signal is known as Analog to Digital.
Filip Tavernier Karolina Poltorak Sandro Bonacini Paulo Moreira
Ratioed Circuits Ratioed circuits use weak pull-up and stronger pull-down networks. The input capacitance is reduced and hence logical effort. Correct.
J. Christiansen, CERN - EP/MIC
Process Monitor/TID Characterization Valencia M. Joyner.
Circuit design with a commercial 0.13  m CMOS technology for high energy physics applications K. Hänsler, S. Bonacini, P. Moreira CERN, EP/MIC.
Cypress Roadmap: Aerospace Memory
ATMEL ATF280E Rad Hard SRAM Based FPGA SEE test results Application oriented SEU Sensitiveness Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit.
Development of DC-DC converter ASICs S.Michelis 1,3, B.Allongue 1, G.Blanchot 1, F.Faccio 1, C.Fuentes 1,2, S.Orlandi 1, S.Saggini 4 1 CERN – PH-ESE 2.
Radiation Hardness Test Chip Matthias Harter, Peter Fischer Uni Mannheim.
Rad-Tolerant design of all-digital DLL Tuvia Liran ] Ran Ginosar ] Dov Alon ] Ramon-Chips.
ATtiny23131 A SEMINAR ON AVR MICROCONTROLLER ATtiny2313.
Experiment Electronics UMC 0.18µm radiation hardness studies - Update - Sven Löchner 13 th CBM Collaboration Meeting GSI Darmstadt March 12th, 2009.
Modern VLSI Design 3e: Chapter 7 Copyright  1998, 2002 Prentice Hall PTR Topics n Power/ground routing. n Clock routing. n Floorplanning tips. n Off-chip.
LaRC MAPLD 2005 / A208 Ng 1 Radiation Tolerant Intelligent Memory Stack (RTIMS) Tak-kwong Ng, Jeffrey Herath Electronics Systems Branch Systems Engineering.
Bi-CMOS Prakash B.
ESA Workshop: on a harmonized mixed-signal flow Gilles Foucard EN/STI/ECE.
Greg Alkire/Brian Smith 197 MAPLD An Ultra Low Power Reconfigurable Task Processor for Space Brian Smith, Greg Alkire – PicoDyne Inc. Wes Powell.
RD53 Analog IP blocks WG : developments and plans at CPPM M. Barbero, L. Gallin Martel (LPSC), Dzahini (LPSC), D. Fougeron, R. Gaglione (LAPP), F. Gensolen,
Sampling chip psTDC_02 Jean-Francois Genat – Herve Grabas Mary Heinz – Eric Oberla 1/27/ psTDC_02 presentation.
Low Power, High-Throughput AD Converters
Motivation for 65nm CMOS technology
Integrated Microsystems Lab. EE372 VLSI SYSTEM DESIGNE. Yoon 1-1 Panorama of VLSI Design Fabrication (Chem, physics) Technology (EE) Systems (CS) Matel.
Overview of VLSI 魏凱城 彰化師範大學資工系. VLSI  Very-Large-Scale Integration Today’s complex VLSI chips  The number of transistors has exceeded 120 million 
Low Power, High-Throughput AD Converters
S. Bota – Calorimeter Electronics overview - July 2002 Status of SPD electronics Very Front End Review of ASIC runs What’s new: RUN 4 and 5 Next Actions.
Investigating latchup in the PXL detector Outline: What is latchup? – the consequences and sources of latchup – techniques to reduce latchup sensitivity.
R2E Availability October 17 th 2014 ADC and Common development options G. Spiezia.
A Novel, Highly SEU Tolerant Digital Circuit Design Approach By: Rajesh Garg Sunil P. Khatri Department of Electrical and Computer Engineering, Texas A&M.
LPNHE - Serial links for Control in 65nm CMOS technology - 65nm CMOS - Higher density, less material, less power - Enhanced radiation hardness regular.
H. Krüger, , DEPFET Workshop, Heidelberg1 System and DHP Development Module overview Data rates DHP function blocks Module layout Ideas & open questions.
Low Power, High-Throughput AD Converters
Xilinx V4 Single Event Effects (SEE) High-Speed Testing Melanie D. Berg/MEI – Principal Investigator Hak Kim, Mark Friendlich/MEI.
Enhancement Presentation Carlos Abellan Barcelona September, 9th 2009.
1 Introduction to Engineering Fall 2006 Lecture 17: Digital Tools 1.
Comparison Study of Bulk and SOI CMOS Technologies based Rad-hard ADCs in Space Feitao Qi , Tao Liu , Hainan Liu , Chuanbin Zeng , Bo Li , Fazhan Zhao.
Integrated Circuits.
CFTP ( Configurable Fault Tolerant Processor )
SEU Mitigation Techniques for Virtex FPGAs in Space Applications
Electronics for Physicists
Maintaining Data Integrity in Programmable Logic in Atmospheric Environments through Error Detection Joel Seely Technical Marketing Manager Military &
The Xilinx Virtex Series FPGA
Reliability Analysis of the Aeroflex ViaLink™ FPGA
Design of a ‘Single Event Effect’ Mitigation Technique for Reconfigurable Architectures SAJID BALOCH Prof. Dr. T. Arslan1,2 Dr.Adrian Stoica3.
M. Kakoulin, S. Redant, G. Thys, S. Verhaegen, G. Franciscatto, B
The Xilinx Virtex Series FPGA
Electronics for Physicists
DARE180U Platform Improvements in Release 5.6
Towards a Fully Digital State-of-the-art Analog SiPM
DARE180U New Analog IPs Laurent Berti AMICSA 2018, LEUVEN.
ATMX150RHA Circuit Design Platform
Presentation transcript:

Development process of RHBD cell libraries for advanced SOCs Ramon Chips Ramon Chips is named in memory of Col. Ilan Ramon, Israeli astronaut who died on board the Columbia space shuttle, 1/2/2003 Development process of RHBD cell libraries for advanced SOCs Tuvia Liran [tuvia@ramon-chips.com ] Ran Ginosar [ran@ramon-chips.com ] Dov Alon [dov@ramon-chips.com ] Ramon-Chips Ltd., Israel

About Ramon Chips Private company Based in Haifa; Israel Incorporated in 2004 Developed the RadSafeTM technology Accomplished and delivered several space grade components to customers Focused on advanced IC design for space

Dual core LEON3FT processor Latest SOC products JPIC - JPEG2000 encoder GR712RC - Dual core LEON3FT processor

Outline Concepts of RadSafeTM technology RadSafeTM libraries Design considerations Development vehicles used RadSafeTM 0.13µ technology

RadSafeTM concepts Radiation Hardening is achieved only by design Same technology for all space applications Based on standard CMOS technology Radiation hardening guaranteed by similarity to previously qualified products/test chips All IPs fully developed and owned by Ramon Chips Proven immunity on Tower Semi 0.18µ technology Complementary methodologies: Design For Reliability Flow for SEU/SET mitigation Design for testability Electrical screening Class S screening flow

Radiation & Reliability effects mitigated by RadSafeTM Radiation effects: TID SEL SEU/SET in flip-flops SEU in SRAMs SEFI caused by PLL/DLLs Reliability effects: Electro-migration Thermal cycling Chemical effects Mechanical (shock & vibration)

Mitigating TID effects Advanced CMOS process – ≤0.18µ with STI Fixed geometry of transistors – fixed geometry of parasitic devices; insensitive to placement ~30% area penalty – much less than ELT TID immunity - >300Krad(Si)

Performance under TID stress NMOS PMOS TID stress up to 250Krad(Si)

TID effect on ring oscillator frequency 12 12.2 12.4 12.6 12.8 13 13.2 13.4 50 100 150 200 250 300 350 TID(krad) freq. (MHz) Dev #1 Dev #2 Dev #3 Dev #4 Dev #5 Ageing Annealing Before Irrad. 481 stages of inverters with FO = 4 Maximum variation in frequency is <0.5%

Mitigating SEU in flip-flops Proprietary circuit Optimized for area and power LET threshold - ≥ 38MeV/cm2/mg SET mitigation by glitch filtering of data SET Filter for clock by several techniques Restricting the use of async Set/Reset All flip-flops on chip accessed by SCAN

Comparing FF alternatives Area Power Tvalid CLK->out LET Threshold MeV*cm2/mg Errors/bit/day [@LEO](*) Un-protected 1 2.94 5E-7 TMR 4.01 2.6 2.5 - DMR 2.48 2.2 DMR+ 2.34 2.1 38.2 4E-14 SEP 1.8 1.6 1.2 4E-12 SER (**) 1.75 1.5 Relative values Refers to standard FF, with scan, same output drive (*) Refers to 37o inclination, quite solar (**) Designed for 0.13u only

I/O cell libraries Two libraries: Special rad-hard ESD cells For 1.8V core voltage For 3.3V core voltage Special rad-hard ESD cells Special cells: LVDS (>400MHz) SSTL, HSTL, AGP 5V tolerance Cold spare Proven on several chips

Special design rules for I/O cells RH mitigation: ≥2 guard rings All NMOS transistors ringed by P+/GND Special ESD considerations Other considerations for space ICs: Large pitch/size pads – enables thick Al bond wires Relaxed layout rules – reduced thermo-mechanical stress Dual slope transition – reduced ringing Double supply pads – reduced inductance & density

RadSafe SRAM cell Conventional SRAM cell RadSafeTM SRAM cell Many NMOS devices connected to bit-lines Conventional SRAM cell Only PMOS devices connected to bit-lines RadSafeTM SRAM cell

Examples of SRAM cores

SRAM cell libraries Variable sizes, up to 2Kx40 Two types of SRAM cores: Single / dual port (>250MHz / >120MHz) Two operation voltages: 1.8V, 3.3V DPRAM performs read & write access per cycle Integrated EDAC & BIST in each core Very low power; zero standby power Protected from all radiation effects: MBU is eliminated LET threshold 3 MeV·cm2/mg (before EDAC correction) In tests, all errors corrected by EDAC Testability features: Complementary BIST logic Speed control Weak write Iddq compatibility

All-digital DLL cores Three DLL cores for 3 frequency ranges Locking guaranteed & fast Immediate re-locking 0.05 mm2/core 8 mW/core @0.18u Highly protected from radiation effects Can be placed anywhere in the core Powered by core supply lines

Technology development chips RADIC2 1.8/3.3V transistors 1.8/3.3V std. cells 1.8/3.3V ring oscillators 1.8/3.3V shift registers 4Kbit SRAM ADDLL FPGA converted chip RADIC3 1.8V transistors 1.8V std. cells 1.8V ring oscillators 1.8V shift registers Several FF types 256Kbit DPRAM ADDLL LVDS I/O buffers GR702RC LEON3FT core by GR Fully automatic flow 2 SpW ports w LVDS 2 ADDLL 10 SRAM cores

RadSafe™ 0.13µ technology Density: Power - <40% of 0.18µ Logic - >120Kgates/mm2 (40K at 0.18m) SRAMs - >200Kbit/mm2 (80K at 0.18m) Power - <40% of 0.18µ Speed - >200MHz [for large chips] Special IPs: 10 bit, 1Msps, 1mW SAR ADC Status: Test chip ready for production

RADIC4 – Test chip for RadSafe_013 technology 3 shift registers 3 delay lines/ SET monitor 10b RH ADC (1Msps,1mW) NMOS/PMOS Xtors 4Kx72 RH SRAM 4Kx72 RH SRAM With process enhancement

10b Analog to Digital Converter Resolution: 10 bit Sampling rate: 0.5Msps Power: <1.5mW Area: ~0.03mm2 TID: >300Krad (target) Process: 0.13µ Voltage: 3.3/1.2V

Summary RadSafe™ by Ramon Chips Using standard process Using standard EDA tools & flow Proven Rad-Hard-by-design on several chips Optimized for performance, power & reliability RH considerations applies to all levels of design flow 0.13µ process provides significant performance advantages