SOC Design Challenge Rajeev Madhavan Chairman and CEO.

Slides:



Advertisements
Similar presentations
Presenter : Shao-Chieh Hou VLSI Design, Automation and Test, VLSI-DAT 2007.
Advertisements

A reconfigurable system featuring dynamically extensible embedded microprocessor, FPGA, and customizable I/O Borgatti, M. Lertora, F. Foret, B. Cali, L.
EDP Trends in AMS Design Methodology or Analog Design Flow, an Oxymoron ? Gary Smith Chief Analyst EDA Gartner Dataquest.
Ch.3 Overview of Standard Cell Design
Spring 2009W. Rhett DavisNC State UniversityECE 406Slide 1 ECE 406 – Design of Complex Digital Systems Lecture 21: Where do you go from here? Spring 2009.
The Future of Formal: Academic, IC, EDA, and Software Perspectives Ziyad Hanna VP of Research and Chief Architect Jasper Design Automation Ziyad Hanna.
The Design Process Outline Goal Reading Design Domain Design Flow
1 HW/SW Partitioning Embedded Systems Design. 2 Hardware/Software Codesign “Exploration of the system design space formed by combinations of hardware.
Design and Implementation of VLSI Systems (EN0160) Sherief Reda Division of Engineering, Brown University Spring 2007.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Logic Design Outline –Logic Design –Schematic Capture –Logic Simulation –Logic Synthesis –Technology Mapping –Logic Verification Goal –Understand logic.
ECE 699: Lecture 2 ZYNQ Design Flow.
ENEE 644 Dr. Ankur Srivastava Office: 1349 A.V. Williams URL: Computer-Aided Design of.
From Concept to Silicon How an idea becomes a part of a new chip at ATI Richard Huddy ATI Research.
Hardware/Software Partitioning Witawas Srisa-an Embedded Systems Design and Implementation.
What is an IP Core ?.
(1) Introduction © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
Hierarchical Physical Design Methodology for Multi-Million Gate Chips Session 11 Wei-Jin Dai.
1 Chapter 2. The System-on-a-Chip Design Process Canonical SoC Design System design flow The Specification Problem System design.
Introduction to Computer Engineering ECE/CS 252, Fall 2007 Prof. Mikko Lipasti Department of Electrical and Computer Engineering University of Wisconsin.
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
Chap. 1 Overview of Digital Design with Verilog. 2 Overview of Digital Design with Verilog HDL Evolution of computer aided digital circuit design Emergence.
1 3-General Purpose Processors: Altera Nios II 2 Altera Nios II processor A 32-bit soft core processor from Altera Comes in three cores: Fast, Standard,
Are classical design flows suitable below 0.18  ? ISPD 2001 NEC Electronics Inc. WR0999.ppt-1 Wolfgang Roethig Senior Engineering Manager EDA R&D Group.
CAD for Physical Design of VLSI Circuits
UC San Diego / VLSI CAD Laboratory Toward Quantifying the IC Design Value of Interconnect Technology Improvement Tuck-Boon Chan, Andrew B. Kahng, Jiajia.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
VLSIRESEARCH.comVLSIRESEARCH.com WeSRCH.com CHIPHISTORY.org CHIPHISTORY.org Copyright © 2008 VLSI Research Inc. All rights reserved. Reprinted with permission.
CADENCE CONFIDENTIAL 1CADENCE DESIGN SYSTEMS, INC. Cadence Formal Verification 2003 Beijing International Microelectronics Symposium C. Michael Chang Vice.
Foundation Express The HDL Value Leader. Xilinx Foundation Express The HDL Value Leader  Complete HDL Development Environment Best in Class EDA Tools.
Design Verification An Overview. Powerful HDL Verification Solutions for the Industry’s Highest Density Devices  What is driving the FPGA Verification.
May 17, USB Semiconductor IP How to Integrate USB into Your Design Eric Huang inSilicon Corporation.
Xilinx Programmable Logic Design Solutions Version 2.1i Designing the Industry’s First 2 Million Gate FPGA Drop-In 64 Bit / 66 MHz PCI Design.
CMP 4202: VLSI System Design Lecturer: Geofrey Bakkabulindi
1 H ardware D escription L anguages Modeling Digital Systems.
System Design with CoWare N2C - Overview. 2 Agenda q Overview –CoWare background and focus –Understanding current design flows –CoWare technology overview.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
Lecture 2 1 ECE 412: Microcomputer Laboratory Lecture 2: Design Methodologies.
J. Christiansen, CERN - EP/MIC
COE 405 Design and Modeling of Digital Systems
1 Towards Optimal Custom Instruction Processors Wayne Luk Kubilay Atasu, Rob Dimond and Oskar Mencer Department of Computing Imperial College London HOT.
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
© 2012 xtUML.org Bill Chown – Mentor Graphics Model Driven Engineering.
ASIC, Customer-Owned Tooling, and Processor Design Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths That Lead EDA Astray.
1. CAD Challenges for Leading-Edge Multimedia Designs Ira Chayut, Verification Architect (opinions are my own and do not necessarily represent the opinion.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL ASICs vs. FPGAs ECE 448 Lecture 15.
DFT Compiler Synopsys Customer Education Services
© 2006 Synopsys, Inc. (1) CONFIDENTIAL Simulation and Formal Verification: What is the Synergy? Carl Pixley Disclaimer: These opinions are mine alone and.
SSV Summit November 2013 Cadence Tempus™ Timing Signoff Solution.
EE3A1 Computer Hardware and Digital Design
Celltroy Technologies Cell Compiler “Ardon” Overview.
An Overview of Hardware Design Methodology Ian Mitchelle De Vera.
Dec 1, 2003 Slide 1 Copyright, © Zenasis Technologies, Inc. Flex-Cell Optimization A Paradigm Shift in High-Performance Cell-Based Design A.
Tools - Design Manager - Chapter 6 slide 1 Version 1.5 FPGA Tools Training Class Design Manager.
DEVICES AND DESIGN : ASIC. DEFINITION Any IC other than a general purpose IC which contains the functionality of thousands of gates is usually called.
Lecture 1 – Overview (rSp06) ©2008 Joanne DeGroat, ECE, OSU -1- Functional Verification of Hardware Designs EE764 – Functional Verification of Hardware.
Ready to Use Programmable Logic Design Solutions.
OpenAccess Gear David Papa 1 Zhong Xiu 2, Christoph Albrecht, Philip Chong, Andreas Kuehlmann 3 Cadence Berkeley Labs 1 University of Michigan, 2 Carnegie.
EMT 351/4 DIGITAL IC DESIGN Week # 1 EDA & HDL.
Introduction to ASICs ASIC - Application Specific Integrated Circuit
Digital System Design An Introduction to Verilog® HDL
Intelligent Design of Electronic Assets (IDEA) Workshop
Cadence Low-Power Solution
11/14/2018 Changing Paradigms - Fast-Turn RF and Mixed-Signal IP IEEE Annual EDP-2001 Workshop session on Analog/MS Design Flows James Spoto Enablix.
Achieving Best QoR and Fastest TAT with Synopsys Fusion Technology
ITRS Roadmap Design Process Open Discussion EDP 2001
ECE 699: Lecture 3 ZYNQ Design Flow.
Powerful High Density Solutions
HIGH LEVEL SYNTHESIS.
Xilinx Alliance Series
Presentation transcript:

SOC Design Challenge Rajeev Madhavan Chairman and CEO

Search For Killer Applications …. Search is on for the next killer applications (microprocessor) − E.g Combination communications, consumer and computer − What do we do in the meantime? 1980’s1990’s 2000 CommunicationsConsumer Computer

Economy of Scale for Product Company on 300mm Fab ,000 10, , Revenue2000 Revenue (6,000) ( M US$) Source: Dataquest (2002) SC Vendors above $6B Year companies & Year 2001 only- 5 companies. SC Ranking in 2001, 2000 Product Revenue from one 300mm Fab

Economy of Scale for 300mm Fab** One single 300 mm fab with 30K wafer/month capacity in 90nm will generate about US$6B of product revenue, or about US$2.4B of foundry revenue. ** Cost about US$3B**  Handful of product companies and few foundries can afford it or need it. Joint ventures will be formed** Killer applications (microprocessors) and some other IDMs will have foundries but partnerships in general are evolving Reticle Costs are increasing $1M+.. But ….

Foundries – Partnerships and Evolutions Moore’s law – Process engineers has delivered at or faster pace Moore’s law will slow not so much technologically, but economically. Disintegration of supply chain continues

Development Costs – Software Case Study - Magma > Development Environment » Visual C++ » Utilities (Purify, quantify) » Automated QA & regression suite > Purchased available IP » Verilog, VHDL, DEF, GDSII parser » Other parsers » Schematic viewer > About 1.2M lines of code » C++/JAVA MANTLE Single Executable JAVA XML QA Designs C++ IP C++ Purify Quantify Software Development Regression/QA Compilers Editing and Debugging Env

Software Development - Progress Ease of deployment has allowed proliferation of software/IT across the world

Software Investment Case Study – Magma > Roughly 1.2M lines of code > Synthesis, placement, routing, timing, noise, delay calculation, power rail …. > 65+ Ph.d’s > Low hardware expenses > Total investment - $110M » $80M on R&D

Development Costs - Hardware Advanced 90nm Complexities − Advanced analysis and hence correction > Optimization with On-chip variation > Multi-mode analysis throughout IC design flow > Complex delay calculation requirement > Slew, skew, hold, setup, multi-corners …. − Noise models, EM models − SPICE delay correlation per path/net − Routing complexities – Manufacturing effects

Hardware - Investment 40 M gate designs − 18mm X 18mm, 2000 I/Os, 500Mhz − Approximately 4M lines of RTL Design re-use (wherever possible) 50+ engineers − Experts in synthesis, P&R, signal integrity, design closure $80M investment − Requires $160M in 2 years to realize break even − Where is the killer application for this?? Traditional design flows will make Moore’s law economically infeasible

Hardware – Traditional IC creation flows Series of point tools that looks at various steps − Software does not require every user to look at assembly − Placed gates is too late, netlist is just an intermediate format − Corrections at the end is suicidal − Wireload is completely off and is a non-starter − Standalone analysis is dead − Power and other manufacturing effects cannot be done as point solutions

Sawai Madopur – Slide 1

Sawai Madopur – Slide 2

Sawai Madopur – Slide 3

History – Determining The Design Flow? Does not leverage similarity − Increases implementation effort − Increases bugs − No consistency by construction Does not minimize interfaces − Tools spend most code on reading data and conditioning data. common data base with all data. api internal datastructure Tool 1 api internal datastructure Tool 2 api internal datastructure Tool 3 api internal datastructure Tool 4 api internal datastructure Tool 189 api internal datastructure Tool 190 e.g. router e.g. timer e.g. placer Incremental tools (Timer, extraction, noise, rail) are part of the infrastructure. They are not tools!

Taming Costs – EDA Advances Designer spends time doing architecture selection Verification − Model Checking/assertions − Could become major bottleneck Implementation − Correct by construction − Flat or Hierarchy > Not driven by tools > Flat » Ease of use > Hierarchy » IP and design management VLSI COMPILER RTL Goals GDS II Design Closure Faster Turnaround Time Least Resources Process, Library VLSI Compiler – An Economic Necessity

Unified data model – essential for 90nm Tools share a common data structure. They run directly on it. All design data lives “in core” during the flow, attached to data structure. Only one format: the data structure Allows deep incrementality Data-model Placement Alg. Routing Alg. Tool n Alg TCL access Timing Alg. GUI access Verification Alg. Image Snapshot External formats or tools

“The Tall Thin VLSI Engineer” Focus on product − Algorithm, functionality and architecture of product − Simplify implementation Engineering responsibility − Architecture/algorithmic engineer > Is architecture right? > Is design feasible? What is the early silicon performance? » Sign-off to implementation − Implementation engineer > Logistics, implementation, packaging & testing Open EDA system with built in technology Reduce integration needs and meet design goals

Leverage “Give me a lever long enough, and a prop strong enough, and I can single-handedly move the world.” -- Archimedes

Magma’s Technology Edge FixedTimingSuperCellUnified Data Model Fast chips – on time Large designs – on time Quality designs – on time B A 1X Logic design A 1X B After layout B A 4X Wire widening Wire spacing Cell sizing

RTL-to-GDSII Solution Single executable…multiple product packages Patented unified data model Blast Rail Blast Fusion GDSII Blast Fusion APX Blast Create RTL Netlist Blast Plan Blast Noise Netlist

The Fastest Growing Market “IC Implementation market will be one of the highest- growing markets in the next 2 years” – expected to be $483M in 2006 Magma market share currently at 31% and growing rapidly – “grew at a staggering 343% last year” Source: Gartner Dataquest (October 2002) Cadence 24% Synopsys 41% IC Implementation Market Share, %

Conclusion VLSI Compiler − Productivity gains for digital IC design by leaps > Keeps Moore’s law economically more viable VLSI Verification − Progress essential for complex designs Tall thin VLSI engineer − Cost improvement − Productivity improvement EDA − Change from PD to unified system