UC San Diego / VLSI CAD Laboratory Toward Quantifying the IC Design Value of Interconnect Technology Improvement Tuck-Boon Chan, Andrew B. Kahng, Jiajia.

Slides:



Advertisements
Similar presentations
(1/25) UCSD VLSI CAD Laboratory - ISQED10, March. 23, 2010 Toward Effective Utilization of Timing Exceptions in Design Optimization Kwangok Jeong, Andrew.
Advertisements

Tunable Sensors for Process-Aware Voltage Scaling
OCV-Aware Top-Level Clock Tree Optimization
-1- VLSI CAD Laboratory, UC San Diego Post-Routing BEOL Layout Optimization for Improved Time- Dependent Dielectric Breakdown (TDDB) Reliability Tuck-Boon.
Keeping Hot Chips Cool Ruchir Puri, Leon Stok, Subhrajit Bhattacharya IBM T.J. Watson Research Center Yorktown Heights, NY Circuits R-US.
Timing Margin Recovery With Flexible Flip-Flop Timing Model
Minimum Implant Area-Aware Gate Sizing and Placement
Improving Placement under the Constant Delay Model Kolja Sulimma 1, Ingmar Neumann 1, Lukas Van Ginneken 2, Wolfgang Kunz 1 1 EE and IT Department University.
UC San Diego / VLSI CAD Laboratory NOLO: A No-Loop, Predictive Useful Skew Methodology for Improved Timing in IC Implementation Tuck-Boon Chan, Andrew.
The Cost of Fixing Hold Time Violations in Sub-threshold Circuits Yanqing Zhang, Benton Calhoun University of Virginia Motivation and Background Power.
DARPA Assessing Parameter and Model Sensitivities of Cycle-Time Predictions Using GTX u Abstract The GTX (GSRC Technology Extrapolation) system serves.
Power-Aware Placement
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Architectural-Level Prediction of Interconnect Wirelength and Fanout Kwangok Jeong, Andrew B. Kahng and Kambiz Samadi UCSD VLSI CAD Laboratory
On Modeling and Sensitivity of Via Count in SOC Physical Implementation Kwangok Jeong Andrew B. Kahng.
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
1 UCSD VLSI CAD Laboratory ISQED-2009 Revisiting the Linear Programming Framework for Leakage Power vs. Performance Optimization Kwangok Jeong, Andrew.
Temperature-Aware Design Presented by Mehul Shah 4/29/04.
Methodology from Chaos in IC Implementation Kwangok Jeong * and Andrew B. Kahng *,** * ECE Dept., UC San Diego ** CSE Dept., UC San Diego.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Timing Analysis and Optimization Implications of Bimodal CD Distribution in Double Patterning Lithography Kwangok Jeong and Andrew B. Kahng VLSI CAD LABORATORY.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,
DDRO: A Novel Performance Monitoring Methodology Based on Design-Dependent Ring Oscillators Tuck-Boon Chan †, Puneet Gupta §, Andrew B. Kahng †‡ and Liangzhen.
Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems Andrew B. Kahng, Bill Lin and Siddhartha Nath VLSI CAD LABORATORY,
UC San Diego / VLSI CAD Laboratory Reliability-Constrained Die Stacking Order in 3DICs Under Manufacturing Variability Tuck-Boon Chan, Andrew B. Kahng,
-1- UC San Diego / VLSI CAD Laboratory Methodology for Electromigration Signoff in the Presence of Adaptive Voltage Scaling Wei-Ting Jonas Chan, Andrew.
Andrew B. Kahng‡†, Mulong Luo†, Siddhartha Nath†
Impromptu Data Extraction and Analysis Data Mining and Analytics Framework for VLSI Designs Sandeep P
Analysis of Instruction-level Vulnerability to Dynamic Voltage and Temperature Variations ‡ Computer Science and Engineering, UC San Diego variability.org.
TLC: Transmission Line Caches Brad Beckmann David Wood Multifacet Project University of Wisconsin-Madison 12/3/03.
Accuracy-Configurable Adder for Approximate Arithmetic Designs
-1- UC San Diego / VLSI CAD Laboratory A Global-Local Optimization Framework for Simultaneous Multi-Mode Multi-Corner Clock Skew Variation Reduction Kwangsoo.
A New Methodology for Reduced Cost of Resilience Andrew B. Kahng, Seokhyeong Kang and Jiajia Li UC San Diego VLSI CAD Laboratory.
Power Reduction for FPGA using Multiple Vdd/Vth
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
Are classical design flows suitable below 0.18  ? ISPD 2001 NEC Electronics Inc. WR0999.ppt-1 Wolfgang Roethig Senior Engineering Manager EDA R&D Group.
Horizontal Benchmark Extension for Improved Assessment of Physical CAD Research Andrew B. Kahng, Hyein Lee and Jiajia Li UC San Diego VLSI CAD Laboratory.
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
UC San Diego / VLSI CAD Laboratory Incremental Multiple-Scan Chain Ordering for ECO Flip-Flop Insertion Andrew B. Kahng, Ilgweon Kang and Siddhartha Nath.
-1- UC San Diego / VLSI CAD Laboratory Construction of Realistic Gate Sizing Benchmarks With Known Optimal Solutions Andrew B. Kahng, Seokhyeong Kang VLSI.
Kwangsoo Han, Andrew B. Kahng, Hyein Lee and Lutong Wang
Kwangsoo Han‡, Andrew B. Kahng‡† and Hyein Lee‡
Impact of Interconnect Architecture on VPSAs (Via-Programmed Structured ASICs) Usman Ahmed Guy Lemieux Steve Wilton System-on-Chip Lab University of British.
© Chandu Visweswariah, 2004New Challenges in IC Design1 New Challenges in IC Design … with a focus on variability … SBCCI 2004 Panel Discussion Chandu.
NUMERICAL TECHNOLOGIES, INC. Assessing Technology tradeoffs for 65nm logic circuits D Pramanik, M Cote, K Beaudette Numerical Technologies Inc Valery Axelrad.
Outline Introduction: BTI Aging and AVS Signoff Problem
Is Statistical Timing Statistically Significant? DAC 2004, Panel Discussion, Session 41 Chandu Visweswariah IBM Thomas J. Watson Research Center Yorktown.
1 Carnegie Mellon University Center for Silicon System Implementation An Architectural Exploration of Via Patterned Gate Arrays Chetan Patel, Anthony Cozzie,
Mixed Cell-Height Implementation for Improved Design Quality in Advanced Nodes Sorin Dobre +, Andrew B. Kahng * and Jiajia Li * * UC San Diego VLSI CAD.
-1- UC San Diego / VLSI CAD Laboratory On Potential Design Impacts of Electromigration Awareness Andrew B. Kahng, Siddhartha Nath and Tajana S. Rosing.
-1- UC San Diego / VLSI CAD Laboratory Optimization of Overdrive Signoff Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li and Siddhartha Nath Tuck-Boon Chan,
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
-1- UC San Diego / VLSI CAD Laboratory Optimal Reliability-Constrained Overdrive Frequency Selection in Multicore Systems Andrew B. Kahng and Siddhartha.
-1- Soft Core Viterbi Decoder EECS 290A Project Dave Chinnery, Rhett Davis, Chris Taylor, Ning Zhang.
Improved Flop Tray-Based Design Implementation for Power Reduction
Power-Optimal Pipelining in Deep Submicron Technology
Kun Young Chung*, Andrew B. Kahng+ and Jiajia Li+
THE CMOS INVERTER.
The Interconnect Delay Bottleneck.
Kristof Blutman† , Hamed Fatemi† , Andrew B
Improved Performance of 3DIC Implementations Through Inherent Awareness of Mix-and-Match Die Stacking Kwangsoo Han, Andrew B. Kahng and Jiajia Li University.
Revisiting and Bounding the Benefit From 3D Integration
Circuit Design Techniques for Low Power DSPs
Puneet Gupta1 , Andrew B. Kahng1 , Youngmin Kim2, Dennis Sylvester2
FPGA Glitch Power Analysis and Reduction
Measuring the Gap between FPGAs and ASICs
Applications of GTX Y. Cao, X. Huang, A.B. Kahng, F. Koushanfar, H. Lu, S. Muddu, D. Stroobandt and D. Sylvester Abstract The GTX (GSRC Technology Extrapolation)
Abbas Rahimi‡, Luca Benini†, and Rajesh Gupta‡ ‡CSE, UC San Diego
Presentation transcript:

UC San Diego / VLSI CAD Laboratory Toward Quantifying the IC Design Value of Interconnect Technology Improvement Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li VLSI CAD LABORATORY, UC San Diego

-2- Outline Motivation Related Work Our Framework Experiments and Results Conclusion

-3- Outline Motivation Related Work Our Framework Experiments and Results Conclusion

-4- Motivation Wire delay increases with technology scaling Improvement of BEOL both important and expensive Issue 1: no systematic quantification of ROI from BEOL improvement Issue 2: unclear whether BEOL improvement benefits can be leveraged by EDA tools Goals: – –A framework to quantify BEOL improvement values  guide BEOL technology investment and targets – –Assess EDA tools’ ability to leverage improved BEOL = potential “EDA gap”

-5- Focus of Our Work Product quality comes from interaction among design, BEOL technology, EDA tool We focus on interaction between BEOL and EDA Design BEOL Technology EDA tool This work

-6- Outline Motivation Related Work Our Framework Experiments and Results Conclusion

-7- Related Work   Studies of DRAM or simple logic circuits, not at chip-level   Ignores interaction between BEOL technology, EDA tool [Li01] – DRAM performance improvements from low-k [Kapur02] – R, C impacts on signal, power [Bamal06] – Performance, energy comparison studies with different interconnect technologies   Focus on variation, not future BEOL improvements [Jeong10] – Chip-level impacts of interconnect variation due to double-patterning

-8- Outline Motivation Related Work Our Framework Experiments and Results Conclusion

-9- Our Framework Timing and power analysis 1. 1.Modify BEOL files to model R, C reductions in future technologies – –Modify ITF files – –Use Synopsys StarRC to convert ITF to TLUplus files 2. 2.Design implementation (RTL-to-layout and signoff) with original and modified BEOL files 3. 3.Run timing, power analysis Modified BEOL files Hypothetical RC reductions Original BEOL files Circuit implemented with original BEOL Circuit implemented with modified BEOL Circuit implementation flow (synthesis, place and route) Designs

-10- Testbed Designs: {aes_cipher, des_perf, mpeg2, pci_bridge32} from OpenCores x {fast, slow} clock periods Technology: TSMC 45nm, LVT and HVT 20SOC and below can be very different SP&R: Synopsys Design Compiler + IC Compiler – –Execute each P&R run three times  denoising Timing and power analysis: Synopsys IC Compiler Signoff: no hold or EM violation, TNS < 30ps  Apples-to-apples comparison for design metrics

-11- Outline Motivation Related Work Our Framework Experiments and Results Conclusion

-12- Expt 1: Impact of R, C Reduction on Power 45% R, C reduction only leads to 8% power reduction R, C reduction improves timing  fewer / smaller cells  leakage power ↓ (but, only on critical paths) C reduction  load cap ↓  net switching power ↓ (but, gate cap dominates) R, C=α%: implementation with α% dielectric constant and metal resistivity w.r.t original BEOL R, C reduction occurs on M2-M5 Power of implementation with original BEOL

-13- Impact of R, C Reduction on Area R, C reduction leads to little improvement in area Tool uses Vt swapping to exploit improved timing – –Same footprint of LVT and HVT cells  same post-opt area Optimization methodology of EDA tools affects value extracted from improved BEOL Area of implementation with original BEOL

-14- Expt 2: Reduction in R vs. in C In this experiment, C reduction offers more benefits – –Wire delay ↓  trade timing for power – –R reduction improves wire delay – –C reduction improves wire delay + load cap R reduction can be critical with high Vdd, temperature Technology R&D might focus more on C reduction Power w/ only R reductionPower w/ only C reduction

-15- Expt 3: R, C Reduction in Advanced Technology Wire delay becomes critical in advanced technologies – –Impact of R reduction increases – –We model advanced technology = increase R by 8x Benefits of R, C reduction increase in advanced technologies 5% 2% Leakage power Total power Advanced Current Advanced Current

-16- Expt 4: Impact of Layer Selection BEOL improvement incurs high manufacturing cost – –What is optimum subset of layers to improve under cost limits? – –Flexible BEOL = subset of layers is selectively improved – –Inappropriate selection of R, C-reduced layers is suboptimal Guideline: reduce R, C on adjacent and highly utilized layers Small difference between different layer selections – –Tools’ ability to leverage the improved BEOL layers? Layers with improved BEOL RC-reduced layers are far from each other RC reduction has more benefit on highly utilized layers

-17- Tools’ Exploitation of R, C Reduction Assessment flow 1. 1.Implement designs with both original and improved BEOL 2. 2.Run timing and power analysis with improved BEOL 3. 3.Compare frequency, power Preliminary results show tool can leverage R, C reduction – –Case 1 might be misguided during optimization Case 1: Implementation with original BEOL, analyzed with modified BEOL Case 2: Implementation with modified BEOL, analyzed with modified BEOL Reduced R, C on M3, M4 Reduced R, C on M2, M5

-18- RC-Awareness in EDA Tools A “smart” router should be aware of improved BEOL – –Route setup critical paths on layers with small R, C – –Route hold critical paths on layers with large R, C ∆ wire distribution (of layer x) = %wire on layer x - %wire on layer x Assessment: – –Implement designs with flexible BEOL – –Check ∆wire distribution of layers for setup- and hold-critical nets w/ improved BEOL w/ original BEOL

-19- Experimental Results Compare ∆wire distribution from current router (bars) and a hypothetical RC-aware router (ovals) – –White (Orange) = positive (negative) ∆wire distribution – –Same color of bar and dotted oval  RC-awareness Router is not fully responsive to BEOL R, C reduction ∆Wire distribution {2,3,4,5} {2,3} {2,4} {2,5} {3,4} {3,5} {4,5} Layers with reduced RC M2 M3 M4 M5 {2,3,4,5} {2,3} {2,4} {2,5} {3,4} {3,5} {4,5} Layers with reduced RC Setup-critical nets Hold-critical nets √√√√ √√ √√√ √√ √ XX XX √ √ √ √ X XX XXX XX XX X X

-20- Outline Motivation Related Work Our Framework Experiments and Results Conclusion

-21- Conclusion Framework to quantify impact of interconnect resistance and/or capacitance reductions on chip-level design metrics Reduction in capacitance gives more benefits than in resistance – –R reduction can be critical in wire-delay dominant designs (due to high Vdd, temperature or advanced technology) Capability of EDA tools to leverage improved BEOL has room for improvement Ongoing works – –Iso-constraints vs. iso-GDS

-22- ISO-GDS Expt Basic tradeoffs to exploit improved BEOL – –R, C reduction  improved timing  Vdd ↓  Power ↓ Frequency improvement Vdd reduction Power reduction R, C reduction Gate-wire balance Performance requirement + device type Activity factor + nominal voltage + device type

-23- Conclusion Framework to quantify impact of interconnect resistance and/or capacitance reductions on chip-level design metrics Reduction in capacitance gives more benefits than in resistance – –R reduction can be critical in wire-delay dominant designs (due to high Vdd, temperature or advanced technology) Capability of EDA tools to leverage improved BEOL has room for improvement Ongoing works – –Iso-constraints vs. iso-GDS – –Study impact of interconnect R, C reduction across wide supply voltages – –Extend our analyses to M1 and middle-of-line layers

-24- Acknowledgments Work supported from Sandia National Labs, Qualcomm, Samsung, NSF, SRC, the IMPACT (UC Discovery) and IMPACT+ centers

Thank You!

Backup Slides

-27- Values of Improved BEOL Question 1: What is overall impact of R and/or C reduction(s) on design metrics? – –45% R, C reduction  8% power reduction, similar area Question 2: Which reductions offer more benefits, in R or in C? – –C reduction offers more benefits – –R reduction can be critical with high Vdd, temperature Question 3: How will impacts of R, C reduction change in advanced technology nodes? – –Benefits of R, C reduction increase in advanced technology Question 4: What is optimum subset of layers to improve under cost limits? – –Should reduce R, C on adjacent and highly utilized layers