An aluminium two-wheeled robot (ALBot) for teaching Phil Culverhouse.

Slides:



Advertisements
Similar presentations
Introduction To VHDL for Combinational Logic
Advertisements

INTRO TO VHDL Appendix A: page page VHDL is an IEEE and ANSI standard. VHDL stands for Very High Speed IC hardware description language.
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
1 Lecture 13 VHDL 3/16/09. 2 VHDL VHDL is a hardware description language. The behavior of a digital system can be described (specified) by writing a.
Next in line… Advance techniques in design optimisations. Introduction to synthesis tools. Design flow using FPGA and ASIC. Summary.
History TTL-logic PAL (Programmable Array Logic)
Arbitrary Waveform Discussion 5.5 Example 34.
Ring Counter Discussion D5.3 Example 32. Ring Counter if rising_edge(CLK) then for i in 0 to 2 loop s(i)
2-to-1 Multiplexer: if Statement Discussion D2.1 Example 4.
Steven Koelmeyer BDS(hons)1 Reconfigurable Hardware for use in Ad Hoc Sensor Networks Supervisors Charles Greif Nandita Bhattacharjee.
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
FPGAs and VHDL Lecture L12.1. FPGAs and VHDL Field Programmable Gate Arrays (FPGAs) VHDL –2 x 1 MUX –4 x 1 MUX –An Adder –Binary-to-BCD Converter –A Register.
Counters Discussion D5.3 Example 33. Counters 3-Bit, Divide-by-8 Counter 3-Bit Behavioral Counter in Verilog Modulo-5 Counter An N-Bit Counter.
Introduction to VHDL CSCE 496/896: Embedded Systems Witawas Srisa-an.
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
1 Part V: VHDL CODING. 2 Design StructureData TypesOperators and AttributesConcurrent DesignSequential DesignSignals and VariablesState Machines A VHDL.
An Introduction to VHDL Using Altera’s Quartus II IDE Dr. William M. Jones Coastal Carolina University Numbers and Bytes Meeting 20 OCT 2008.
1 Part I: VHDL CODING. 2 Design StructureData TypesOperators and AttributesConcurrent DesignSequential DesignSignals and VariablesState Machines A VHDL.
Synthesis Presented by: Ms. Sangeeta L. Mahaddalkar ME(Microelectronics) Sem II Subject: Subject:ASIC Design and FPGA.
System Arch 2008 (Fire Tom Wada) /10/9 Field Programmable Gate Array.
Design Verification VHDL ET062G & ET063G Lecture 5 Najeem Lawal 2012.
VHDL TUTORIAL Preetha Thulasiraman ECE 223 Winter 2007.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL VGA Display Part 1 VGA Synchronization ECE 448 Lecture 9.
VHDL in 1h Martin Schöberl. AK: JVMHWVHDL2 VHDL /= C, Java,… Think in hardware All constructs run concurrent Different from software programming Forget.
ENG2410 Digital Design LAB #6 LAB #6 Sequential Logic Design (Flip Flops)
Copyright © 1997 Altera Corporation & 提供 What is VHDL Very high speed integrated Hardware Description Language (VHDL) –is.
Introducing the Nexys 2 Board CS 332 – Operating Systems 12/04/2011 by Otto Castell-R.
VHDL Very High Speed Integrated Circuit Hardware Description Language Shiraz University of shiraz spring 2011.
Copyright © 1997 Altera Corporation 11/20/2015 P.1 Beginner VHDL Training Class Danny Mok Altera HK FAE
1 Introduction to VHDL part 1 Fall Preliminary Class web page egre365/index.html Syllabus Grades: –Quizzes.
Copyright © 1997 Altera Corporation & 提供 Beginner VHDL Training Class Danny Mok Altera HK FAE
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
Hardware languages "Programming"-language for modelling of (digital) hardware 1 Two main languages: VHDL (Very High Speed Integrated Circuit Hardware Description.
Chapter 5 Introduction to VHDL. 2 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
CEC 220 Digital Circuit Design VHDL in Sequential Logic Wednesday, March 25 CEC 220 Digital Circuit Design Slide 1 of 13.
Controllers ENGIN 341 – Advanced Digital Design University of Massachusetts Boston Department of Engineering Dr. Filip Cuckov.
George Mason University Behavioral Modeling of Sequential-Circuit Building Blocks ECE 545 Lecture 8.
VHDL From Ch. 5 Hardware Description Languages. History 1980’s Schematics 1990’s Hardware Description Languages –Increased due to the use of Programming.
Introduction to Field Programmable Gate Arrays (FPGAs) EDL Spring 2016 Johns Hopkins University Electrical and Computer Engineering March 2, 2016.
Algorithmic State Machine (ASM) Charts: VHDL Code & Timing Diagrams
1 - CPRE 583 (Reconfigurable Computing): VHDL overview 1 Iowa State University (Ames) CPRE 583 Reconfigurable Computing Lecture 2: 8/26/2011 (VHDL Overview.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
GreenJacket IMDL Summer 2002 Final Report Matthew Chernosky August 6, 2002.
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
An Introduction to V.H.D.L.. Need of a Compiler… main( ) { int x=10,y=20,z; z = x + y ; printf ( “ %d “, z ); getch( ) ; } What’s That ? Give me only.
LAB #6 Sequential Logic Design (Flip Flops, Shift Registers)
VGA Display Part 1 VGA Synchronization
Introduction to Programmable Logic
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
Part IV: VHDL CODING.
ECE 4110–5110 Digital System Design
Programmable Logic Memories
Algorithmic State Machine (ASM) Charts: VHDL Code & Timing Diagrams
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
Cryptol aided formal verification of VHDL code
CHAPTER 17 VHDL FOR SEQUENTIAL LOGIC
VHDL VHSIC Hardware Description Language VHSIC
RTL Style در RTL مدار ترتيبي به دو بخش (تركيبي و عناصر حافظه) تقسيم مي شود. مي توان براي هر بخش يك پروسس نوشت يا براي هر دو فقط يك پروسس نوشت. مرتضي صاحب.
IMDL Summer 2002 Matthew Chernosky July 11, 2002
VHDL Introduction.
Modeling of Circuits with a Regular Structure
ECE 448 Lecture 6 Finite State Machines State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL code ECE 448 – FPGA and ASIC Design.
VGA Display: VGA Synchronization & Pixel Generation
Sequntial-Circuit Building Blocks
4-Input Gates VHDL for Loops
Presentation transcript:

An aluminium two-wheeled robot (ALBot) for teaching Phil Culverhouse

ALBot v1 Robot motor & wheel assembly Atmel microcontroller Interface PCB Field Programmable Gate Array (FPGA)

Atmel controller & robot Programme in C –Use AVRstudio and JTAG interface –PID controller available embedded Robot can operate from PSU or battery Fast – up to 2metres per second! –Teaching version of Mirosot competition robot footballer

ALbot sensors Sensors –Infra-red chat (front facing) –Infra-red Line detect (bottom) –VGA colour camera

FPGA Altera FPGA EP2C8T144 – 200MHz programmable hardware Quartus development tool Design in logic schematic and/or VHDL (Very high speed IC Hardware Description Language)

VHDL example LIBRARY ieee; USE ieee.std_logic_1164.all; use IEEE.numeric_std.all; -- for integer to bit_vector conversion ENTITY SlowCounter IS PORT (Clk : IN std_logic; ClockOUT : OUT std_logic); END SlowCounter; ARCHITECTURE SlowCounter_v1 OF SlowCounter IS CONSTANT maxval: natural := 100; -- signal Counter: natural range 0 to maxval; -- reduce clock to approx 500ms from 64uS (Hsync input) signal Ctemp: std_logic := '0'; begin process (clk) begin if (clk'event) and (clk ='1') then Counter <= Counter +1; if Counter = 0 then Ctemp <= '0'; end if; if Counter = maxval then Ctemp <= not(Ctemp); end if; end process; Clockout <= Ctemp; end SlowCounter_v1; Sequential process Concurrent process runs in separate Hardware section

AINT302: Machine vision & behavioural Computing: laboratory 2 problem Recognise golf ball by hardware What questions to ask? 1.What camera/lens 2.What colour processing? 3.What speed of operation? 4.How?!!!