Page - 1 The Leading Provider of EDA Software & Technologies for the Physical Implementation of Structured ASICs.

Slides:



Advertisements
Similar presentations
Day - 3 EL-313: Samar Ansari. INTEGRATED CIRCUITS Integrated Circuit Design Methodology EL-313: Samar Ansari Programmable Logic Programmable Array Logic.
Advertisements

FPGA (Field Programmable Gate Array)
Cadence Design Systems, Inc. Why Interconnect Prediction Doesn’t Work.
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
Graduate Computer Architecture I Lecture 15: Intro to Reconfigurable Devices.
EECE579: Digital Design Flows
MICROELETTRONICA Design methodologies Lection 8. Design methodologies (general) Three domains –Behavior –Structural –physic Three levels inside –Architectural.
The Design Process Outline Goal Reading Design Domain Design Flow
Some Thoughts on Technology and Strategies for Petaflops.
ENGIN112 L38: Programmable Logic December 5, 2003 ENGIN 112 Intro to Electrical and Computer Engineering Lecture 38 Programmable Logic.
Computer Engineering 222. VLSI Digital System Design Introduction.
Configurable System-on-Chip: Xilinx EDK
Programmable logic and FPGA
An Introduction to Synopsys Design Automation Jeremy Lee November 7, 2007.
February 4, 2002 John Wawrzynek
ELEN468 Lecture 11 ELEN468 Advanced Logic Design Lecture 1Introduction.
ECE 699: Lecture 2 ZYNQ Design Flow.
ELEN468 Lecture 11 ELEN468 Advanced Logic Design Lecture 1Introduction.
CS 151 Digital Systems Design Lecture 38 Programmable Logic.
Design Tools, Flows and Library Aspects during the FE-I4 Implementation on Silicon Vladimir Zivkovic National Institute for Subatomic Physics Amsterdam,
General FPGA Architecture Field Programmable Gate Array.
Hierarchical Physical Design Methodology for Multi-Million Gate Chips Session 11 Wei-Jin Dai.
Section I Introduction to Xilinx
I N V E N T I V EI N V E N T I V E EDA360 - Is End-to-End Design a Riddle, a Rebus, or a Reality? April 6, 2011.
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
Global Routing.
Are classical design flows suitable below 0.18  ? ISPD 2001 NEC Electronics Inc. WR0999.ppt-1 Wolfgang Roethig Senior Engineering Manager EDA R&D Group.
CAD for Physical Design of VLSI Circuits
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 4 Programmable.
Open Discussion of Design Flow Today’s task: Design an ASIC that will drive a TV cell phone Exercise objective: Importance of codesign.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
ASIC Design Flow – An Overview Ing. Pullini Antonio
Logic Synthesis for Low Power(CHAPTER 6) 6.1 Introduction 6.2 Power Estimation Techniques 6.3 Power Minimization Techniques 6.4 Summary.
May 17, USB Semiconductor IP How to Integrate USB into Your Design Eric Huang inSilicon Corporation.
Xilinx Programmable Logic Design Solutions Version 2.1i Designing the Industry’s First 2 Million Gate FPGA Drop-In 64 Bit / 66 MHz PCI Design.
1 Moore’s Law in Microprocessors Pentium® proc P Year Transistors.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
Lecture 2 1 ECE 412: Microcomputer Laboratory Lecture 2: Design Methodologies.
J. Christiansen, CERN - EP/MIC
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
Introduction to FPGA Created & Presented By Ali Masoudi For Advanced Digital Communication Lab (ADC-Lab) At Isfahan University Of technology (IUT) Department.
Field Programmable Gate Arrays (FPGAs) An Enabling Technology.
ASIC, Customer-Owned Tooling, and Processor Design Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths That Lead EDA Astray.
Impact of Interconnect Architecture on VPSAs (Via-Programmed Structured ASICs) Usman Ahmed Guy Lemieux Steve Wilton System-on-Chip Lab University of British.
DFT Compiler Synopsys Customer Education Services
Topics Design methodologies. Kitchen timer example.
EE 466/586 VLSI Design Partha Pande School of EECS Washington State University
Dec 1, 2003 Slide 1 Copyright, © Zenasis Technologies, Inc. Flex-Cell Optimization A Paradigm Shift in High-Performance Cell-Based Design A.
IMPLEMENTATION OF MIPS 64 WITH VERILOG HARDWARE DESIGN LANGUAGE BY PRAMOD MENON CET520 S’03.
Update on the Design Implementation Methodology for the 130nm process Microelecronics User Group meeting TWEPP 2010 – Aachen Sandro Bonacini CERN PH/ESE.
FPGA-Based System Design: Chapter 1 Copyright  2004 Prentice Hall PTR Moore’s Law n Gordon Moore: co-founder of Intel. n Predicted that number of transistors.
Greg Alkire/Brian Smith 197 MAPLD An Ultra Low Power Reconfigurable Task Processor for Space Brian Smith, Greg Alkire – PicoDyne Inc. Wes Powell.
System in Package and Chip-Package-Board Co-Design
Survey of Reconfigurable Logic Technologies
ASIC/FPGA design flow. Design Flow Detailed Design Detailed Design Ideas Design Ideas Device Programming Device Programming Timing Simulation Timing Simulation.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n FPGA fabric architecture concepts.
-1- Soft Core Viterbi Decoder EECS 290A Project Dave Chinnery, Rhett Davis, Chris Taylor, Ning Zhang.
Introduction to ASICs ASIC - Application Specific Integrated Circuit
Gopakumar.G Hardware Design Group
Programmable Hardware: Hardware or Software?
ASIC Design Methodology
Topics SRAM-based FPGA fabrics: Xilinx. Altera..
M1.5 Foundation Tools Xilinx XC9500/XL CPLD
Top-level Schematics Digital Block Sign-off Digital Model of Chip
Electronics for Physicists
Timing Analysis 11/21/2018.
ECE 699: Lecture 3 ZYNQ Design Flow.
HIGH LEVEL SYNTHESIS.
Electronics for Physicists
Programmable logic and FPGA
Presentation transcript:

Page - 1 The Leading Provider of EDA Software & Technologies for the Physical Implementation of Structured ASICs

Page /21/ ViASIC, Inc. Early-stage EDA software company Located in Research Triangle Park, NC Founded 1999 Growing, profitable, debt-free, low burn rate ViaPath/ViaMask products first announced in May 2003 Four customers since announcement Two patents on ViaPath/ViaMask granted –6,693,454 & 6,580,289 –more on the way Experienced management team Closed investment round in late 2003

Page /21/ Why Use A Structured ASIC Fabric

Page /21/ interconnect

Page /21/ Logic Cell Schematic

Page /21/ memory architecture Stretch custom RAM along word lines: Increase size of word line driver Keeps bit lines and therefore SA size the same Patent 6,693,454 Traditional Ram vs. Distributed RAM

Page /21/ ViaMask Nomenclature for TSMC/ST 0.13 Micron 6ML

Page /21/ Available Libraries Include: TSMC ML ST ML TSMC ML AMS ML IBM ML in development Customization of fabric is available

Page /21/ Features  Simultaneously available embedded RAM  Typically routes 100% utilized designs  Available via configurable ROM  Programmable partition power-down  Unlimited clock domains  Fits traditional design flows  Standard test flow  Generates files for LVS/LEC  Diodes for antenna repair

Page /21/ The Best Structured ASIC Single mask SOC or full-chip RAM & logic Excellent density & performance

Page /21/ Vs. Other SA Architectures

Page /21/ DFM Issues Phase-shift coloring compatible Repetitive structures can lead to yield improvements Redundant vias between in-line routing segments Uniform metal Very wide power busses Via-configured power-down of unused logic

Page /21/2000

Page /21/ Features  Timing driven placement  Capacitance driven global routing  Signal Integrity driven detailed routing  Tie off of unused inputs  Built in RAM generator  Support traditional test flow with scan-chain interface to Tetramax  Antenna violation detection and repair  Buffer insertion for timing resolution  Integrated clock routing  Routing turn minimization  Fast run times  Efficient runtime memory usage  Automatic selection of target footprint  Integrates easily into existing tool flows  Accurate delay simulation  TCL interface for low level control  Place & route an embedded block of an SoC or a full chip

Page /21/ ViaPath Treatment of SI Simpler problem, since post-detailed routing changes are easily done Cross-talk fixed post 3-D extraction Effective speedup of critical signals: –Buffering, duplication, and gate sizing –During global route –During detailed route –Incrementally, after 3-D extraction True 3-D extraction of parasitics White paper available

Page /21/ Sample Design A Process: AMS ML Application: Fabric for Triad Semi (fabless structured ASIC manufacturer) Footprint: 3x8 tiles Die Size: 2.37mm x 2.98mm 7.06 mm 2 Sample design in this footprint was 35K gates & 3 memories ViaPath runtime of 45 seconds with memory usage of 152MB (on 1.8 GHz Opteron)

Page /21/ Sample Design B Process: TSMC ML Application: Configurable Embedded Block of SOC Footprint: 10x13 tiles Die Size: 2.76mm x 3.71mm mm 2 w/power ring Initial Design for this footprint was 600K gates & 20 memories ViaPath runtime of 2.5 hrs with memory usage of GB (on 1.8 GHz Opteron)

Page /21/2000

Page /21/ For Microcontroller Two ViaMask fabrics – ML in 5-50k gate footprints – ML in k gate footprints Embedded Area could be –Single port SRAM –cache –Eprom Pick a single-footprint launch to cover ViASIC’s cost, Freescale can upgrade once proven.

Page /21/ Contact Info William Westhead France * Max Lloyd, CEO

Page /21/ The Time Is Now Sample Roll-out Plan

Page /21/ Virage ASAP Metal Programmed Library Each respin requires standard-cell like routing to be rerun with corresponding closures of timing, signal integrity, and power. To approach ViaMask density Virage needs at least 6 masks (Via1 thru Metal 4). ViaMask uses only one mask, Via3. Memory in the Virage architecture is not reconfigurable. Customer should benchmark two netlists (designs) into same footprint for real world understanding of Virage density.

Page /21/ Semiconductor’s Fastest Growing Segment “Worldwide merchant market dollar shipments of structured ASIC products are forecast to grow from the $5.2 million reached [in 2002], to $460.3 million by This will translate to a forecast Compound Annual Growth Rate (CAGR), over the 2002 to 2007 forecast period, of 145%.”

Page /21/ Structured ASICs - Solution –mask costs –time to market –yields –advanced rules –risk

Page /21/ ST ML cell

Page /21/ Increasing mask costs are limiting electronic innovation One respin pays for a copy of ViaPath ViASIC solutions enable new markets

Page /21/ Details Inputs Synthesized netlist in Verilog or VHDL Physical design data in.lib, LEF/DEF Timing constraints in SDC Outputs Via photomask in GDSII Path delay data in SDF Auto pin assignment in TCL Scan chain reordering interface to Tetramax Print and plot data in Postscript Verilog for LVS & formal verification Supported Platforms RedHat 32-bit Linux on AMD & Intel processors 64 bit Linux and Solaris also available

Page /21/ ViaPath Features, Release Plan Spice Translation/Synthesis for Analog P&R Skew of MUX balancing for additional timing resources Configurable power, power estimation Signal integrity driven routing (wire swapping/avoidance, shielding) Path highlighting display Complete timing driven (additional SDC support) Timing modeling, reporting (include clock skew report) Enable File/Print of Postscript Image Fly line connectivity display Scan chain link to Tetramax Non-rectangular footprint support Hierarchical design support, nested footprint support LEF macro input

Page /21/ Current Products ViaMask –One-mask structured ASIC libraries –Announced May 2003 ViaPath –Physical design tool for one-mask structured ASICs and VPGA –Announced May 2003 VRoute –Routing engine for standard-cell and metal programmed structured ASICs –First tape-out March 2004, demo’d at DAC 2004 –To be released