VHDL design and FPLD implementation for Silicon Track Card Presentation by Shweta Lolage In partial fulfillment of the requirements for the degree of Masters.

Slides:



Advertisements
Similar presentations
UNIT 8: Synthesis Basics
Advertisements

Introduction To VHDL for Combinational Logic
EELE 367 – Logic Design Module 2 – Modern Digital Design Flow Agenda 1.History of Digital Design Approach 2.HDLs 3.Design Abstraction 4.Modern Design Steps.
ENGIN112 L38: Programmable Logic December 5, 2003 ENGIN 112 Intro to Electrical and Computer Engineering Lecture 38 Programmable Logic.
FPGAs and VHDL Lecture L12.1. FPGAs and VHDL Field Programmable Gate Arrays (FPGAs) VHDL –2 x 1 MUX –4 x 1 MUX –An Adder –Binary-to-BCD Converter –A Register.
Presentation to DØ STT Stony Brook by Reginald J. Perry, Ph.D. Professor and Chairman Department of Electrical and Computer Engineering (ECE)
FPGA BASED IMAGE PROCESSING Texas A&M University / Prairie View A&M University Over the past few decades, the improvements from machine language to objected.
VHDL Intro What does VHDL stand for? VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuit Developed in 1982 by Govt. to standardize.
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
David Nelson STAVE Test Electronics July 1, ATLAS STAVE Test Electronics Preliminary V3 Presented by David Nelson.
ALTERA UP2 Tutorial 1: The 15 Minute Design. Figure 1.1 The Altera UP 1 CPLD development board. ALTERA UP2 Tutorial 1: The 15 Minute Design.
EKT303/4 PRINCIPLES OF PRINCIPLES OF COMPUTER ARCHITECTURE (PoCA)
FAMU-FSU College of Engineering EEL 3705 / 3705L Digital Logic Design Fall 2006 Instructor: Dr. Michael Frank Module #29: Supplemental Topics: Hardware.
Trigger design engineering tools. Data flow analysis Data flow analysis through the entire Trigger Processor allow us to refine the optimal architecture.
An Introduction to VHDL Using Altera’s Quartus II IDE Dr. William M. Jones Coastal Carolina University Numbers and Bytes Meeting 20 OCT 2008.
Designing with FPGAs ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Thomson Engineering.
1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :
1 SERIAL PORT INTERFACE FOR MICROCONTROLLER EMBEDDED INTO INTEGRATED POWER METER Mr. Borisav Jovanović, Prof.dr Predrag Petković, Prof.dr. Milunka Damnjanović,
System Arch 2008 (Fire Tom Wada) /10/9 Field Programmable Gate Array.
Chapter 14 Introduction to Microprocessors. 2 Microcomputer A self-contained computer system that consists of CPU (central processing unit), memory (RAM.
VHDL Project I: Introduction to Testbench Design Matthew Murach Slides Available at:
J. Christiansen, CERN - EP/MIC
VHDL Introduction. V- VHSIC Very High Speed Integrated Circuit H- Hardware D- Description L- Language.
ASIC 121: Practical VHDL Digital Design for FPGAs Tutorial 1 September 27, 2006.
Modern VLSI Design 3e: Chapters 3 & 8Partly from 2002 Prentice Hall PTR week6-1 Lectures 16 Transfer Characteristics (Delay and Power) Feb. 10, 2003.
Introducing the Nexys 2 Board CS 332 – Operating Systems 12/04/2011 by Otto Castell-R.
28/03/2003Julie PRAST, LAPP CNRS, FRANCE 1 The ATLAS Liquid Argon Calorimeters ReadOut Drivers A 600 MHz TMS320C6414 DSPs based design.
Electrical and Computer Engineering University of Cyprus LAB 1: VHDL.
HARDWARE DESCRIPTION LANGUAGE (HDL). What is HDL? A type of programming language for sampling and modeling of electronic & logic circuit designs It can.
EKT303/4 PRINCIPLES OF PRINCIPLES OF COMPUTER ARCHITECTURE (PoCA)
PROJECT - ZYNQ Yakir Peretz Idan Homri Semester - winter 2014 Duration - one semester.
Introduction to VHDL Simulation … Synthesis …. The digital design process… Initial specification Block diagram Final product Circuit equations Logic design.
Introduction to FPGA Tools
ECE-C662 Lecture 2 Prawat Nagvajara
STT simulations (Horst Wahl, 25 February 2000) l trigger simulation  (Silvia Tentindo-Repond, Sailesh Chopra, John Hobbs with help from Brian Connolly,
Lecture 7 Chap 9: Registers Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
System-On-a-Programmable-Chip (SOPC) Implementation of the Silicon Track Card (STC) Thesis Defense By Arvindh-kumar Lalam Department of Electrical and.
CS/EE 3700 : Fundamentals of Digital System Design Chris J. Myers Lecture 5: Arithmetic Circuits Chapter 5 (minus 5.3.4)
CS/EE 3700 : Fundamentals of Digital System Design
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
Edge Detection. 256x256 Byte image UART interface PC FPGA 1 Byte every a few hundred cycles of FPGA Sobel circuit Edge and direction.
The DØ Silicon Track Trigger Wendy Taylor IEEE NSS 2000 Lyon, France October 17, 2000  Introduction  Overview of STT  STT Hardware Design u Motherboard.
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
FPLD Decoder: Components & Functions Florida State University Roberto A Brown 6/11/99.
Teaching Digital Logic courses with Altera Technology
1 Level 1 Pre Processor and Interface L1PPI Guido Haefeli L1 Review 14. June 2002.
L2 Silicon Track Trigger D0 Trigger Workshop 22 April 2002 Ulrich Heintz Boston University.
Hardware Description Languages ECE 3450 M. A. Jupina, VU, 2014.
VHDL From Ch. 5 Hardware Description Languages. History 1980’s Schematics 1990’s Hardware Description Languages –Increased due to the use of Programming.
20 April 2002Bill Lee APS 1 The D0 Silicon Track Trigger Bill Lee Florida State University.
FPGA ( Field programmable gate array ) April 2008 Prepared by : Muhammad Ziyada Muhammad Al tabakh.
GUIDO VOLPI – UNIVERSITY DI PISA FTK-IAPP Mid-Term Review 07/10/ Brussels.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
1 Architecture of Datapath- oriented Coarse-grain Logic and Routing for FPGAs Andy Ye, Jonathan Rose, David Lewis Department of Electrical and Computer.
1 Introduction to Engineering Spring 2007 Lecture 19: Digital Tools 3.
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
UNIT 8: Synthesis Basics
Introduction to Programmable Logic
Hardware Accelerator Test Bench for Error-Correcting Algorithms
Reconfigurable Computing
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
Cryptol aided formal verification of VHDL code
VHDL 1. ver.7a VHDL1 INTRODUCTION TO VHDL (VERY-HIGH-SPEED-INTEGRATED-CIRCUITS HARDWARE DESCRIPTION LANGUAGE) KH WONG (w2 begins) (Some pictures are.
Monitor memory space Address (Hex) Description (Each 24 bits)
VHDL (VHSIC Hardware Description Language)
Lecture #5 In this lecture we will introduce the sequential circuits.
Founded in Silicon Valley in 1984
Digital Designs – What does it take
Presentation transcript:

VHDL design and FPLD implementation for Silicon Track Card Presentation by Shweta Lolage In partial fulfillment of the requirements for the degree of Masters Of Science

Contents D0 experiment D0 detector The project Choice of VHDL FPLDs The electronics STC - Main data path Individual modules Simulation results MATLAB model Design approaches Conclusion Future work

D0 experiment DZERO Experiment is conducted at Fermi National Acceleration Laboratory. In the D0 experiment a proton – anti proton at very high energy are made to collide in the TeVatron accelerator. This is carried out to find out about the smallest particles - quarks emitted in the collision. The TeVatron Accelerator

D0 experiment (continued) This experiment is currently undergoing a significant upgrade of its detector electronics. D0 trigger electronics has three levels: Level_1 Level_2 Level_3

The project Part of L2STT, which is part of Level_2 trigger electronics of the D0 detector. To implement the design logic of main data path of a single channel of Silicon Track Cluster Card (STC). The design logic is implemented using the VHSIC Hardware Description Language (VHDL).

Choice of VHDL D0 Detector gives a large amount of data. Processing time - few micro-seconds. VHDL is used to implement the design in hardware example Field Programmable Logic Devices (FPLDs). VHDL is independent of technology

Field Programmable Logic Devices (FPLDs) High speed, high performance logic gates The logic can be downloaded onto device when in field Using VHDL, very complex logic can be easily developed and mapped onto the device with synthesis tool

FPLDs (continued) Synthesis tools available MAXPLUS – II Quartus Foundation Synopsis

Sample VHDL code library altera; use altera.maxplus2.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity comparator is port (centroid : in unsigned (10 downto 0); roaddata : in unsigned (10 downto 0); compare : out std_logic ); end entity comparator; architecture behavior of comparator is constant zero11 :unsigned ( 10 downto 0) := " "; begin process(roaddata,centroid) begin compare <= '0'; if(roaddata /= zero11 and centroid /= zero11 ) then if (roaddata > centroid ) then compare <= '1'; else compare <= '0'; end if; else compare <= '0'; end if; end process; end architecture

The L2STT flowchart

Block diagram of the STC data path To L3 Buffers Control Lines Main Control Data Lines Handshake Signals Control Lines Strip Reader VTM Data Centroid Finder Down - loaded Parameters Data from the main controller Centroids Roads from FRC Hit Filter HitsZ-centroids

Downloaded parameters and monitoring data Data from Main Control Module Road data LUT Hit Filter Monitoring Data Counters from Strip Reader and Centroid Finder Monitoring data to Main Control Module Gain Offset LUT Strip reader Test data LUT Miscellaneous Data Centroid Finder Memory allotted to Memory space Memory address Monitor space 1K X – 03FF Miscellaneous 1K X – 07FF Gain Offset LUT 4K X – 17FF Test data LUT 1K (default) 1800 – 1BFF (required) 256 X 18 Empty Space 1C00 – 3FFF Road data LUT 16 K X – 7FFF

Example data stream AA D B036C04 6D05 6E046F C0C0 SEQ_IDHDI_ID CHIP_ID BYTE OF ZEROS STRIP NUMBER DATA VALUE END OF EVENT

Strip Reader Hand shaking signals Data stream SMT Data filter SMT Data FIFOFIFO Test Data From Memory SMT Test Select To L3 Buffers Strip Reader Control FIFOFIFO To Centroid Finder Data From Memory error bitshigher bytelower byte Data typeNew data bit End of data DataChip Id Strip number

Centroid Finder From Strip Reader Data stream Control signal Handshaking signals Cluster Finder Centroid Calculator Data from Memory FIFOFIFO To Hit Filter To L3 Buffer To Hit Filter To L3 Buffer Data stream from Centroid Calculator to Hit Filter Data typePulse AreaCentriodPrecision bits

Clustering algorithm example Centroid Clusters Strips Pulse height Threshold_1 Threshold_

Centroid Calculator Centroid for three-strip clusterCentroid for five-strip cluster Pulse area of the cluster Pulse areaSum = D1 + D2 + D3 + D4 + D5 00< Pulse_Threshold_1 01  Pulse_Threshold_1, Pulse_Threshold_2  10  Pulse_Threshold_2, Pulse_Threshold_3  11  Pulse_Threshold_3 Data stream from Cluster Finder constitutes five 8-bit data words and one 11-bit address

Hit filter Track No.Pulse AreaSEQ_IDHDI_IDCentroidPrecision bits Hit Register module Comparator module Hits Hit Format module To L3 buffer Data stream Control signal Hit interface module Hit Readout module Hit Filter Control module Z-centroid module Centroids from Centroid Finder 17 46

Simulation of the design  A simulation of the design was done using MAXPLUS-II as the synthesis tool.  The test data based on previous studies was obtained from Boston University.  The test data was used to check the functionality of the design.

Test data AA B036C04 6D056E046F03C0C0 SEQ_IDHDI_ID CHIP_ID BYTE OF ZEROS STRIP NUMBER DATA VALUE END OF EVENT

Simulation result in MAXPLUS-II

MATLAB Model This model functionally emulates the VHDL model. It was designed to check the functionality of the VHDL model. Both the models agree on the result for the test data streams.

Approaches to fit the design in minimum number of FPLDs Different design approaches showed that the synthesis tool first tries to fit the memory blocks and then the logic cells. each memory assignment occupies more than one Embedded Array blocks, because of the word length Thus the memories for downloaded parameters were allowed to be mapped in logic cells. The overall design – Strip Reader, Centroid Finder, Hit Filter and the L3 buffers - was found to best fit in three FLEX 10KE FPLDs.

Conclusion The design is functionally correct, and successfully fits in three FLEX10KE FPLDs. The first prototype using this design for the main data path is being built at Boston University.

Further research The design can be modified to fit into a larger FPLD to improve the timing of the logic. New FPLDs such as APEX by ALTERA and VIRTEX by XILINX may be used.

Acknowledgements Dr. Reginald Perry. Dr. Horst Wahl. Dr. Simon Foo. Dr. Bruce Harvey. Department of Electrical and Computer Engineering, FAMU-FSU COE. Department of Physics at FSU and BU. National Science Foundation