ENG2410 Digital Design LAB #8 LAB #8 Data Path Design.

Slides:



Advertisements
Similar presentations
VHDL in digital circuit synthesis (tutorial) dr inż. Miron Kłosowski EA 309
Advertisements

Introduction To VHDL for Combinational Logic
Digital Logic with VHDL EE 230 Digital Systems Fall 2006 (10/17/2006)
1 Lecture 13 VHDL 3/16/09. 2 VHDL VHDL is a hardware description language. The behavior of a digital system can be described (specified) by writing a.
Quad 2-to-1 and Quad 4-to-1 Multiplexers Discussion D2.4 Example 7.
Arbitrary Waveform Discussion 5.5 Example 34.
1 VLSI DESIGN USING VHDL Part II A workshop by Dr. Junaid Ahmed Zubairi.
Adder Discussion D6.2 Example 17. s i = c i ^ (a i ^ b i ) c i+1 = a i * b i + c i * (a i ^ b i ) Full Adder (Appendix I)
Top-level VHDL Designs
Generic Multiplexers: Parameters Discussion D2.5 Example 8.
2-to-1 Multiplexer: if Statement Discussion D2.1 Example 4.
Introduction to VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T1: Combinational Logic Circuits.
6/12/20151 Sequence Detectors Lecture Notes – Lab 4 Sequence detection is the act of recognizing a predefined series of inputs A sequence detector is a.
Digilent Spartan 3 Board Lecture L2.2
FPGAs and VHDL Lecture L12.1. FPGAs and VHDL Field Programmable Gate Arrays (FPGAs) VHDL –2 x 1 MUX –4 x 1 MUX –An Adder –Binary-to-BCD Converter –A Register.
Structural VHDL VHDL Tutorial R. E. Haskell and D. M. Hanna T3: ALU Design.
Introduction to VHDL Multiplexers. Introduction to VHDL VHDL is an acronym for VHSIC (Very High Speed Integrated Circuit) Hardware Description Language.
Lab 2 4-Bit Adder Digilent Spartan 3 Board Lecture L2.3.
4-to-1 Multiplexer: case Statement Discussion D2.3 Example 6.
Switches, Pushbuttons, and LEDs Digilent Spartan 3 Board Lecture L2.1.
Digilent Spartan 3 Board Discussion D3.3
Introduction to VHDL Multiplexers Discussion D1.1.
ECE 331 – Digital System Design
CSET 4650 Field Programmable Logic Devices Dan Solarek VHDL Behavioral & Structural.
ECE 448: Spring 12 Lab 4 – Part 2 Finite State Machines Basys2 FPGA Board.
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
ENG241/ Lab #41 ENG2410 Digital Design LAB #4 Design of Combinational Logic “The Trip Genie”
A.7 Concurrent Assignment Statements Used to assign a value to a signal in an architecture body. Four types of concurrent assignment statements –Simple.
Figure 5.1 Conversion from decimal to binary. Table 5.1 Numbers in different systems.
A VHDL Tutorial ENG2410. ENG241/VHDL Tutorial2 Goals Introduce the students to the following: –VHDL as Hardware description language. –How to describe.
ENG241 Digital Design Week #4 Combinational Logic Design.
ASIC 121: Practical VHDL Digital Design for FPGAs Tutorial 1 September 27, 2006.
LAB #2 Xilinix ISE Foundation Tools Schematic Capture “A Tutorial”
L26 – Datapath ALU implementation
ENG2410 Digital Design LAB #6 LAB #6 Sequential Logic Design (Flip Flops)
VHDL for Combinational Circuits. VHDL We Know Simple assignment statements –f
ENG2410 Digital Design LAB #5 Modular Design and Hierarchy using VHDL.
ECE 331 – Digital System Design Single-bit Adder Circuits and Adder Circuits in VHDL (Lecture #11) The slides included herein were taken from the materials.
ECE 331 – Digital System Design Multiplexers and Demultiplexers (Lecture #13)
EE3A1 Computer Hardware and Digital Design Lecture 2 Introduction to VHDL.
Introduction to FPGA Tools
2’s Complement 4-Bit Saturator Discussion D2.8 Lab 2.
4-to-1 Multiplexer: Module Instantiation Discussion D2.2 Example 5.
Copyright (c) 2003 by Valery Sklyarov and Iouliia Skliarova: DETUA, IEETA, Aveiro University, Portugal.
2/10/07DSD,USIT,GGSIPU1 BCD adder KB3B2B1B0CD3D2D1D
ENG241/ Lab #11 ENG2410 Digital Design LAB #1 Introduction Combinational Logic Design.
9/9/2006DSD,USIT,GGSIPU1 Concurrent vs Sequential Combinational vs Sequential logic –Combinational logic is that in which the output of the circuit depends.
CS/EE 3700 : Fundamentals of Digital System Design
ENG2410 Digital Design LAB #7 LAB #7 Sequential Logic Design “Sequence Recognizer” Using both Schematic Capture and VHDL.
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
1 Introduction to Engineering Spring 2007 Lecture 18: Digital Tools 2.
Fundamentals of Digital Signal Processing יהודה אפק, נתן אינטרטור אוניברסיטת תל אביב.
LAB #5 Modular Design and Hierarchy using VHDL
Combinational logic circuit
LAB #6 Sequential Logic Design (Flip Flops, Shift Registers)
LAB #3 Design of Combinational Logic “The Trip Genie”
LAB #4 Xilinix ISE Foundation Tools VHDL Design Entry “A Tutorial”
Describing Combinational Logic Using Processes
ENG2410 Digital Design “Combinational Logic Design”
LAB #1 Introduction Combinational Logic Design
ENG6530 Reconfigurable Computing Systems
VHDL 1. ver.7a VHDL1 INTRODUCTION TO VHDL (VERY-HIGH-SPEED-INTEGRATED-CIRCUITS HARDWARE DESCRIPTION LANGUAGE) KH WONG (w2 begins) (Some pictures are.
Getting Started with Vivado
Concurrent vs Sequential
Chapter 5 – Number Representation and Arithmetic Circuits
LAB #2 Xilinix ISE Foundation Tools Schematic Capture “A Tutorial”
ECE 331 – Digital System Design
Modeling of Circuits with a Regular Structure
4-Input Gates VHDL for Loops
Digital Logic with VHDL
Presentation transcript:

ENG2410 Digital Design LAB #8 LAB #8 Data Path Design

ENG241/Lab #82 Lab Objectives  Understand the steps required for the data path design.  Design and Implement the Arithmetic Logic Unit of a simple CPU.  Understand the concept of modular and hierarchical design.  VHDL will be used throughout the LAB.

ENG241/Lab #83 Part 1 Arithmetic Circuit  Design and Implement 4 bits arithmetic unit.  Use the n-bit adder implemented in LAB 5.  Design the B-logic that select the operation.

ENG241/Lab #84 Part 2 Logic Circuit  Design the logic part of your data path.  Use 4 to 1 Multiplexer to select the required operation.

ENG241/Lab #85 Part 3 Data Path  Combine the arithmetic and logic circuits to build the data bath.  Use 2 to 1 Multiplexer to select the operation Arithmetic/Logic.

ENG241/Lab #86 Part 3 Data Path

ENG241/Lab #87 2 to 1 MUX in VHDL library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity mux_2_1 is port ( a,b : in std_logic_vector (3 downto 0); s : in std_logic; s : in std_logic; g : out std_logic); g : out std_logic); end mux_2_1; architecture behaviour of mux_2_1 is begin up: process (a,b,s) begin if s=‘0’ then g <= a; g <= a;else g <= b; g <= b; end if; end process; end behaviour;

ENG241/Lab #88 UCF File NET SW0 LOC = T10; //Slide switches NET SW1 LOC = T9; NET SW2 LOC = V9; NET SW3 LOC = M8; NET SW4 LOC = N8; NET SW5 LOC = U8; NET SW6 LOC = V8; NET SW7 LOC = T5; NET BTN1 LOC = P149; // Pushbutton switches NET LD0 LOC = U16; //LEDs NET LD1 LOC = V16; NET LD2 LOC = U15; NET LD3 LOC = V15; NET LD4 LOC = M11; NET LD5 LOC = N11; NET LD6 LOC = R11; NET LD7 LOC = T11;

Lab Report  Title Page – Group # and Names  Problem Statement  System Overview and Justification of Design  Block Diagram of the System  Circuit, Schematic  VHDL Code (Include COMMENTS!) Arithmetic Logic Unit Arithmetic Logic Unit  Simulation Waveform  Problems Encountered and Recommendation ENG241/Lab #79

Academic Misconduct  Reports and demos are submitted as a group, but it is a SINGLE group effort  You may talk with other groups but sharing codes or reports is NOT ALLOWED  Copying reports from previous years is also NOT ALLOWED  If we find copying we are REQUIRED to report it